Search

Your search keyword '"Voelskow, M."' showing total 23 results

Search Constraints

Start Over You searched for: Author "Voelskow, M." Remove constraint Author: "Voelskow, M." Topic silicon Remove constraint Topic: silicon
23 results on '"Voelskow, M."'

Search Results

1. High-fluence Ga-implanted silicon—The effect of annealing and cover layers.

2. Process control and melt depth homogenization for SiC-on-Si structures during flash lamp annealing by carbon implantation.

3. Modeling and regrowth mechanisms of flash lamp processing of SiC-on-silicon heterostructures.

4. Conductivity type and crystal orientation of GaAs nanocrystals fabricated in silicon by ion implantation and flash lamp annealing

5. Conductivity type and crystal orientation of GaAs nanocrystals in silicon

6. Flash lamp processing of III/V nanostructures in silicon

7. Advanced heterostructure Si-InSb on insulator formed by bonding of hydrogen transferred Si layer and implanted SiO2 film

8. Excess vacancies induced by ion beam implantation into silicon

9. Ion-Beam-Assisted Nanocrystal Formation in Silicon Implanted with High Doses of Pb+ and Bi+ Ions

10. Formation of dendritic crystal structures in thin silicon films on silicon dioxide by carbon ion implantation and high intensity large area flash lamp irradiation.

11. Growth and electrical properties of the (Si/Ge)-on-insulator structures formed by ion implantation and subsequent hydrogen-assisted transfer.

12. Micro-Raman and ion channeling study of crystal damage in Si induced by focused Co ion beam implantation.

13. On-chip superconductivity via gallium overdoping of silicon.

14. Enhanced blue-violet emission by inverse energy transfer to the Ge-related oxygen deficiency centers via Er3+ ions in metal-oxide semiconductor structures.

15. Implantation induced defects in silicon detected by Cu decoration technique

16. Superconductor-insulator transition controlled by annealing in Ga implanted Si.

18. Modelling of flash-lamp-induced crystallization of amorphous silicon thin films on glass

19. Regrowth mechanisms in flash lamp processing of heteroepitaxial SiC on silicon substrates

21. Superconducting films fabricated by high-fluence Ga implantation in Si.

22. Flash-lamp annealing of semiconductor materials—Applications and process models

23. Advanced thermal processing of semiconductor materials in the millisecond range

Catalog

Books, media, physical & digital resources