Search

Your search keyword '"PARALLEL processing"' showing total 1,655 results

Search Constraints

Start Over You searched for: Descriptor "PARALLEL processing" Remove constraint Descriptor: "PARALLEL processing" Topic computer architecture Remove constraint Topic: computer architecture
1,655 results on '"PARALLEL processing"'

Search Results

1. The Intelligence Advanced Research Projects Activity Advanced Graph Intelligent Logical Computing Environment Program: Reinventing Computing.

2. Evaluating RISC-V Vector Instruction Set Architecture Extension with Computer Vision Workloads.

3. High-Performance RNS Modular Exponentiation by Sum-Residue Reduction.

4. Event‐based high throughput computing: A series of case studies on a massively parallel softcore machine.

5. Accelerator-Level Parallelism: Charging computer scientists to develop the science needed to best achieve the performance and cost goals of accelerator-level parallelism hardware and software.

6. End-to-End Synthesis of Dynamically Controlled Machine Learning Accelerators.

7. Exploiting Hardware-Based Data-Parallel and Multithreading Models for Smart Edge Computing in Reconfigurable FPGAs.

8. Memory-Computing Decoupling: A DNN Multitasking Accelerator With Adaptive Data Arrangement.

9. Fused Architecture for Dense and Sparse Matrix Processing in TensorFlow Lite.

10. $TC-Stream$ T C - S t r e a m : Large-Scale Graph Triangle Counting on a Single Machine Using GPUs.

11. Auto-GNAS: A Parallel Graph Neural Architecture Search Framework.

12. ReHy: A ReRAM-Based Digital/Analog Hybrid PIM Architecture for Accelerating CNN Training.

13. Design for Real-Time Nonlinear Model Predictive Control With Application to Collision Imminent Steering.

14. A Survey on Memory-centric Computer Architectures.

15. Improving performance of simultaneous multithreading CPUs using autonomous control of speculative traces.

16. SimpleSSD: Modeling Solid State Drives for Holistic System Simulation

17. STICKER-IM: A 65 nm Computing-in-Memory NN Processor Using Block-Wise Sparsity Optimization and Inter/Intra-Macro Data Reuse.

18. CAP: Communication-Aware Automated Parallelization for Deep Learning Inference on CMP Architectures.

19. Exploring Data Analytics Without Decompression on Embedded GPU Systems.

20. VSDCA: A Voltage Sensing Differential Column Architecture Based on 1T2R RRAM Array for Computing-in-Memory Accelerators.

21. A Survey of Deep Learning on CPUs: Opportunities and Co-Optimizations.

22. ViP: A Hierarchical Parallel Vision Processor for Hybrid Vision Chip.

23. Compiler-Assisted Compaction/Restoration of SIMD Instructions.

24. An Application Specific Vector Processor for Efficient Massive MIMO Processing.

25. A High-Performance Domain-Specific Processor With Matrix Extension of RISC-V for Module-LWE Applications.

26. Svelto: High-Level Synthesis of Multi-Threaded Accelerators for Graph Analytics.

27. Parallel Pipelined Architecture and Algorithm for Matrix Transposition Using Registers.

28. An Efficient Stochastic Convolution Architecture Based on Fast FIR Algorithm.

29. Repurposing GPU Microarchitectures with Light-Weight Out-Of-Order Execution.

30. Scalable and Programmable Neural Network Inference Accelerator Based on In-Memory Computing.

31. Navigating the Seismic Shift of Post-Moore Computer Systems Design.

32. Low-Complexity and Low-Latency SVC Decoding Architecture Using Modified MAP-SP Algorithm.

33. Investigating memory prefetcher performance over parallel applications: From real to simulated.

34. MPV—Parallel Readout Architecture for the VME Data Acquisition System.

35. nZESPA: A Near-3D-Memory Zero Skipping Parallel Accelerator for CNNs.

36. Hardware-Efficient and High-Throughput LLRC Segregation Based Binary QC-LDPC Decoding Algorithm and Architecture.

37. High-Speed Modular Multiplier for Lattice-Based Cryptosystems.

38. Making Frequent-Pattern Mining Scalable, Efficient, and Compact on Nonvolatile Memories.

39. Using HEP experiment workflows for the benchmarking and accounting of WLCG computing resources.

40. A Novel In-Memory Wallace Tree Multiplier Architecture Using Majority Logic.

41. Low-Complexity Resource-Shareable Parallel Generalized Integrated Interleaved Encoder.

43. PIT: Processing-In-Transmission With Fine-Grained Data Manipulation Networks.

44. ParIS+: Data Series Indexing on Multi-Core Architectures.

45. A Novel DRAM Architecture for Improved Bandwidth Utilization and Latency Reduction Using Dual-Page Operation.

46. Efficient Incorporation of the RNS Datapath in Reverse Converter.

47. RRAM-DNN: An RRAM and Model-Compression Empowered All-Weights-On-Chip DNN Accelerator.

49. A 3-D Crossbar Architecture for Both Pipeline and Parallel Computations.

50. Parallel Simulation in Subsurface Hydrology: Evaluating the Performance of Modeling Computers.

Catalog

Books, media, physical & digital resources