Search

Your search keyword '"SCANNING electron microscopes"' showing total 374 results

Search Constraints

Start Over You searched for: Descriptor "SCANNING electron microscopes" Remove constraint Descriptor: "SCANNING electron microscopes" Publisher ieee Remove constraint Publisher: ieee
374 results on '"SCANNING electron microscopes"'

Search Results

1. On Uses of Noise Analysis for the Uncertainty Quantification of Line Edge Roughness Estimation.

2. Comparisons of Two Turn-off Failures Under Clamped Inductive Load in Planar FS 3.3 kV/50 A IGBT Chip.

3. A D -Band Magnetoelectric Dipole Antenna-in-Package (AiP) Implemented on BT-Based Organic Substrate.

4. Effect of Aging Time on the Growth Characteristics of Electrical Treeing in Epoxy Resin-Impregnated Paper.

5. Detection of Glucose Using Diamond Solution-Gate Field-Effect Transistor.

6. Precise Pattern Alignment for Die-to-Database Inspection Based on the Generative Adversarial Network.

7. Investigation of the Tracking and Erosion Resistance of a Ceramifiable Filler System for Silicone Rubber Composites.

8. Optimization and Application of TiO 2 Hollow Microsphere Modified Scattering Layer for the Photovoltaic Conversion Efficiency of Dye-Sensitized Solar Cell.

9. High Accuracy Simulation of Silicon Oxynitride Film Grown by Plasma Enhanced Chemical Vapor Deposition.

10. Systematic Analysis and Characterization of Extreme Failure for IGCT in MMC-HVdc System—Part II: Failure Mechanism and Short Circuit Characteristics.

11. Wide Band BAW Filter Based on Single-Crystalline LiNbO₃ Thin Film With Insulating Bragg Reflector.

12. Effect on Physical and Thermal Properties of Corn Starch Treated by Energetic N₂ Extracted From Glow Discharge Plasma.

13. The Effect of Non-Thermal Atmospheric Pressure Plasma Treatment of Wheat Seeds on Germination Parameters and α-Amylase Enzyme Activity.

14. Electron Plasma Charging Effects on the Biocompatible Electrospun Dielectric Fibers.

15. Physical Parameter Extraction and Modeling of Metallized Deeply-Etched Vertical Mirrors.

16. Comparison of Chromium- and Aluminum-Gated Nanocrystalline Silicon TFTs.

17. Understanding the Crystalline Region Damage Mechanism of XLPE in Water Tree Propagation by Erosion Method.

18. Investigation of Metal Interconnect for Wafer-Level and Sealable Miniaturized MEMS Encapsulation.

19. Study of the Nonenzymatic CZO Lactic Acid Sensor Modified by Graphitic Carbon Nitride and Iron–Platinum Nanoparticles.

20. Space Charge Accumulation in Linear Low-Density Polyethylene with Surface Fluorination of the Semiconductive Electrode.

21. Experimental Study on the Short-Circuit Failure Mechanism of Cumulative Discharge in Gas Discharge Tube.

22. Evaluation of Microfluidic Channels With Thin Si Windows and Trapping Structures.

23. Exploiting 2D Coordinates as Bayesian Priors for Deep Learning Defect Classification of SEM Images.

24. Reappearance of Typical Characteristics of FRP Core Rods in the Decay-Like Fracture Insulator.

25. Merged-Sampling Mask R-CNN With Random Proposal Expansion for Particle Measurement of SEM Images of Molecular Sieve Catalysts.

26. Application of Ultrasonic Testing Technology to Fretting Wear Detection of Electrical Connectors.

27. Structural Integrity of 3-D Metal–Insulator–Metal Capacitor Embedded in Fully Filled Cu Through-Silicon via.

28. Design of a 3000-Pixel Transition-Edge Sensor X-Ray Spectrometer for Microcircuit Tomography.

29. From IC Layout to Die Photograph: A CNN-Based Data-Driven Approach.

30. Evaluation of the Quality of BGA Solder Balls in FCBGA Packages Subjected to Thermal Cycling Reliability Test Using Laser Ultrasonic Inspection Technique.

31. Atmospheric Pressure Electron Detection Method for MEMS Electron Microscope.

32. Studies on Exchange-Coupled Magnetodielectric Ceramics for Microwave Absorption in Ku-Band.

33. Cold Plasma-Induced Effects on Bioactive Constituents and Antioxidant Potential of Lotus Petal Powder.

34. Structural Analysis of CoFeB/MgO-Based Perpendicular MTJs With Junction Size of 20 nm by STEM Tomography.

35. Identification of Electrically Stressed Regions in AlGaN/GaN-on-Si Schottky Barrier Diode Using EBIC Technique.

36. Cold Crack Monitoring and Localization in Welding Using Fiber Bragg Grating Sensors.

37. Developing 13-kV 4H-SiC MOSFETs: Significance of Implant Straggle, Channel Design, and MOS Process on Static Performance.

38. Ag–Sn Transient Liquid Phase Bonding for High Temperature Electronic Packaging: Effect of Ag Content.

39. Performances of Velvet Cathodes With Different Geometries in a Reflex Triode Vircator.

40. Optical Beam-Based Defect Localization Methodologies for Open and Short Failures in Micrometer-Scale 3-D TSV Interconnects.

41. Evaluation of DBD Plasma Effects on Barley Seed Germination and Seedling Growth.

42. Increasing the Utilization of Deep Neural Networks for SEM Measurements Through Multiple Task Formulation and Visualization.

43. Dielectric strength of glass fibre fabric reinforced epoxy by nano-Al2O3.

44. Mechanical and High-Frequency Electrical Study of Printed, Flexible Antenna Under Deformation.

45. Scanning Imaging Restoration of Moving or Dynamically Deforming Objects.

46. Flexible 3-D Helix Fabrication by In-Situ SEM Micromanipulation System.

47. How Can a Cutting-Edge Gallium Nitride High-Electron-Mobility Transistor Encounter Catastrophic Failure Within the Acceptable Temperature Range?.

48. An Alternative Approach to Investigate V-Shaped Electrothermal Microactuators in Vacuum.

49. Reliability Enhancement of a Power Semiconductor With Optimized Solder Layer Thickness.

50. A CNN-Based CMP Planarization Model Considering LDE Effect.

Catalog

Books, media, physical & digital resources