Search

Showing total 1,052 results

Search Constraints

Start Over You searched for: Topic memory Remove constraint Topic: memory Publisher ieee Remove constraint Publisher: ieee
1,052 results

Search Results

1. Top Picks from the 2016 Computer Architecture Conferences.

2. Critique of ”MemXCT: Memory-Centric X-Ray CT Reconstruction With Massive Parallelization” by SCC Team From Nanyang Technological University.

3. Introduction to the January Special Issue on the 2016 IEEE International Solid-State Circuits Conference.

4. Source Coding When the Side Information May Be Delayed.

5. Single Event Recording of Temperature and Tilt Using Liquid Metal With RFID Tags.

6. New Constructions of MDS Euclidean Self-Dual Codes From GRS Codes and Extended GRS Codes.

7. Memory AMP.

8. Transmission of a Bit Over a Discrete Poisson Channel With Memory.

9. An Approximate Memory Architecture for Energy Saving in Deep Learning Applications.

10. Influence of Design Parameters on On-Load Demagnetization Characteristics of Switched Flux Hybrid Magnet Memory Machine.

11. An 8T SRAM With On-Chip Dynamic Reliability Management and Two-Phase Write Operation in 28-nm FDSOI.

12. Detection and Coding Schemes for Sneak-Path Interference in Resistive Memory Arrays.

13. Demonstration and Understanding of Nano-RAM Novel One-Time Programmable Memory Application.

14. Binary LCD Codes and Self-Orthogonal Codes From a Generic Construction.

15. Clock Sequences for Increasing the Fault Coverage of Functional Test Sequences.

16. Reconfigurable Bit-Serial Operation Using Toggle SOT-MRAM for High-Performance Computing in Memory Architecture.

17. Nonfragile Consensus of Multiagent Systems Based on Memory Sampled-Data Control.

18. Multi-Bank On-Chip Memory Management Techniques for CNN Accelerators.

19. Spectral–Spatial Unified Networks for Hyperspectral Image Classification.

20. Bipolar SRAM Memory Architecture in 4H-SiC for Harsh Environment Applications.

21. Compact CA-Based Single Byte Error Correcting Codec.

22. A Blockchain-Powered Data Market for Multi-User Cooperative Search.

23. Iterative Programming of Noisy Memory Cells.

24. Label Independent Memory for Semi-Supervised Few-Shot Video Classification.

25. Infrared Pedestrian Tracking With Graph Memory Features.

26. ShortcutFusion: From Tensorflow to FPGA-Based Accelerator With a Reuse-Aware Memory Allocation for Shortcut Data.

27. Multi-Mode QC-LDPC Decoding Architecture With Novel Memory Access Scheduling for 5G New-Radio Standard.

28. Identifying Biases of a Defect Diagnosis Procedure.

29. The VLSI Architecture of a Highly Efficient Deblocking Filter for HEVC Systems.

30. HD-Code: End-to-End High Density Code for DNA Storage.

31. New LCD MDS Codes of Non-Reed-Solomon Type.

32. Continuous-Flow Matrix Transposition Using Memories.

33. Codes for Limited Magnitude Error Correction in Multilevel Cell Memories.

34. A Novel Universal Interface for Constructing Memory Elements for Circuit Applications.

35. Layered Division Multiplexing for ATSC 3.0: Implementation and Memory Use Aspects.

36. Harnessing Correlations in Distributed Erasure-Coded Key-Value Stores.

37. Constructions of Coded Caching Schemes With Flexible Memory Size.

38. Two Bit Overlap: A Class of Double Error Correction One Step Majority Logic Decodable Codes.

39. A 2M1M Crossbar Architecture: Memory.

40. Bounding DRAM Interference in COTS Heterogeneous MPSoCs for Mixed Criticality Systems.

41. C-AND: Mixed Writing Scheme for Disturb Reduction in 1T Ferroelectric FET Memory.

42. EGCN: An Efficient GCN Accelerator for Minimizing Off-Chip Memory Access.

43. Future Scaling of Memory Hierarchy for Tensor Cores and Eliminating Redundant Shared Memory Traffic Using Inter-Warp Multicasting.

44. CloudChain: A Cloud Blockchain Using Shared Memory Consensus and RDMA.

45. Design of Placement Delivery Arrays for Coded Caching With Small Subpacketizations and Flexible Memory Sizes.

46. MAMA Net: Multi-Scale Attention Memory Autoencoder Network for Anomaly Detection.

47. Coflow-Like Online Data Acquisition from Low-Earth-Orbit Datacenters.

48. Decoder Partitioning: Towards Practical List Decoding of Polar Codes.

49. Abnormal Volatile Memory Characteristic in Normal Nonvolatile ZnSnO Resistive Switching Memory.

50. Viewer-Aware Intelligent Efficient Mobile Video Embedded Memory.