651 results on '"Netlist"'
Search Results
2. Deep Learning-Based Framework for Power Converter Circuit Identification and Analysis
- Author
-
Bharat Bohara and Harish Sarma Krishnamoorthy
- Subjects
Automated circuit simulation ,computer vision ,deep learning ,hand-drawn circuit diagram ,NetList ,spice ,Electrical engineering. Electronics. Nuclear engineering ,TK1-9971 - Abstract
This paper introduces a deep learning-based framework for identifying hand-drawn schematics of power converter circuits and performing automated simulations. The framework employs cutting-edge computer vision-based object detection models, such as YOLOv8, to achieve a high mean average precision (mAP) of 96.7% to accurately identify components. Wire tracing and connectivity are achieved through a combined architecture built upon classical image processing techniques and deep learning approaches. Detailed information extracted from a hand-drawn circuit schematic is used to automatically create its netlist for automated simulation through the spice engine. The proposed framework is successfully tested on various nonisolated (buck, boost) and isolated (flyback, full-bridge) converters under both continuous conduction mode (CCM) and discontinuous conduction mode (DCM) operations. In the comprehensive assessment of the entire framework, its efficacy is tested on 140 newly drawn circuit diagrams. The overall accuracy in the generation of netlists reaches a high value of 95.71%, utilizing the robust component detection capabilities of YOLOv8. Moreover, the framework enables the generation of both graphical representations and adjacency matrices for circuit diagrams. This output serves as a valuable dataset generator, contributing to the rapidly advancing domains of machine learning, including graph neural networks and geometric learning, particularly in the application space of power and energy systems. This framework can be further employed as an educational tool, and the ideas introduced can be developed to generate fully automated and efficient power converter designs for real-world applications.
- Published
- 2024
- Full Text
- View/download PDF
3. Synthesis and Static Timing Analysis (STA)
- Author
-
Chakravarthi, Veena S. and Chakravarthi, Veena S.
- Published
- 2022
- Full Text
- View/download PDF
4. Simulations
- Author
-
Srivastava, Pallavi and Srivastava, Pallavi
- Published
- 2022
- Full Text
- View/download PDF
5. Programmable ASIC
- Author
-
Taraate, Vaibbhav and Taraate, Vaibbhav
- Published
- 2021
- Full Text
- View/download PDF
6. Adversarial Examples for Hardware-Trojan Detection at Gate-Level Netlists
- Author
-
Nozawa, Kohei, Hasegawa, Kento, Hidano, Seira, Kiyomoto, Shinsaku, Hashimoto, Kazuo, Togawa, Nozomu, Goos, Gerhard, Founding Editor, Hartmanis, Juris, Founding Editor, Bertino, Elisa, Editorial Board Member, Gao, Wen, Editorial Board Member, Steffen, Bernhard, Editorial Board Member, Woeginger, Gerhard, Editorial Board Member, Yung, Moti, Editorial Board Member, Katsikas, Sokratis, editor, Cuppens, Frédéric, editor, Cuppens, Nora, editor, Lambrinoudakis, Costas, editor, Kalloniatis, Christos, editor, Mylopoulos, John, editor, Antón, Annie, editor, Gritzalis, Stefanos, editor, Pallas, Frank, editor, Pohle, Jörg, editor, Sasse, Angela, editor, Meng, Weizhi, editor, Furnell, Steven, editor, and Garcia-Alfaro, Joaquin, editor
- Published
- 2020
- Full Text
- View/download PDF
7. SOC Synthesis
- Author
-
Chakravarthi, Veena S. and Chakravarthi, Veena S.
- Published
- 2020
- Full Text
- View/download PDF
8. Automated Design Flows and Run-Time Optimization for Reconfigurable Microarchitecures
- Author
-
Jain, Saurabh, Lin, Longyang, Alioto, Massimo, Jain, Saurabh, Lin, Longyang, and Alioto, Massimo
- Published
- 2020
- Full Text
- View/download PDF
9. Novel logic and memory synthesis algorithm for Memristive Hardware Description Language (HDL).
- Author
-
Ng, L.S., Phan, K.Y., and Ho, Patrick W.C.
- Subjects
- *
COMPUTER hardware description languages , *LOGIC design , *LOGIC circuits , *MOORE'S law , *CIRCUIT elements - Abstract
—The fourth basic circuit element, known as the memristor, renowned for its small size, and ability to store and retain information as resistance, allowing for implementation for logic circuits such as logic gates or memory cells. The memristor allows the development of small-scale electronics as a continuation of CMOS technology, which is rapidly approaching its limit due to Moore's Law. Currently, there has not been much development into the design automation of circuit utilizing memristors, which makes the designing of complex, large-scale circuits with memristors considerably difficult. At hitherto, there is only a generic framework for memristor netlist generation, mapping and routing. However, current literature shows a lack of logic optimizer and memristor circuit synthesizer. Hence, this article proposes a Novel Logic Synthesis Algorithm for Memristive Hardware Description Language (HDL). The synthesis algorithm proposed in this article extracts Verilog conditional statements and registers, optimizes the circuit and synthesizes into a suitable memristor circuits in netlist form. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
10. An Interactive and Intelligent Tool for Circuit Component Recognition Through Virtual Reality
- Author
-
Vasudevan, Shriram K., Abhishek, S. N., Keerthana, N. K., Priyanka, Rajan, Aravinth, A., Divya, M., Kacprzyk, Janusz, Series Editor, Pal, Nikhil R., Advisory Editor, Bello Perez, Rafael, Advisory Editor, Corchado, Emilio S., Advisory Editor, Hagras, Hani, Advisory Editor, Kóczy, László T., Advisory Editor, Kreinovich, Vladik, Advisory Editor, Lin, Chin-Teng, Advisory Editor, Lu, Jie, Advisory Editor, Melin, Patricia, Advisory Editor, Nedjah, Nadia, Advisory Editor, Nguyen, Ngoc Thanh, Advisory Editor, Wang, Jun, Advisory Editor, Thampi, Sabu M., editor, Mitra, Sushmita, editor, Mukhopadhyay, Jayanta, editor, Li, Kuan-Ching, editor, James, Alex Pappachen, editor, and Berretti, Stefano, editor
- Published
- 2018
- Full Text
- View/download PDF
11. ObfusX: Routing obfuscation with explanatory analysis of a machine learning attack
- Author
-
Wei Zeng, Azadeh Davoodi, and Rasit O. Topaloglu
- Subjects
Lifting scheme ,Computer science ,business.industry ,02 engineering and technology ,010501 environmental sciences ,Machine learning ,computer.software_genre ,01 natural sciences ,020202 computer hardware & architecture ,Reduction (complexity) ,Obfuscation (software) ,Attack model ,Hardware and Architecture ,0202 electrical engineering, electronic engineering, information engineering ,Feature (machine learning) ,Hit rate ,Netlist ,Artificial intelligence ,Routing (electronic design automation) ,Electrical and Electronic Engineering ,business ,computer ,Software ,0105 earth and related environmental sciences - Abstract
This is the first work that incorporates recent advancements in "explainability" of machine learning (ML) to build a routing obfuscator called ObfusX. We adopt a recent metric---the SHAP value---which explains to what extent each layout feature can reveal each unknown connection for a recent ML-based split manufacturing attack model. The unique benefits of SHAP-based analysis include the ability to identify the best candidates for obfuscation, together with the dominant layout features which make them vulnerable. As a result, ObfusX can achieve better hit rate (97% lower) while perturbing significantly fewer nets when obfuscating using a via perturbation scheme, compared to prior work. When imposing the same wirelength limit using a wire lifting scheme, ObfusX performs significantly better in performance metrics (e.g., 2.4 times more reduction on average in percentage of netlist recovery).
- Published
- 2023
12. Allocation and Schematic Design
- Author
-
Pop, Paul, Minhass, Wajid Hassan, Madsen, Jan, Pop, Paul, Minhass, Wajid Hassan, and Madsen, Jan
- Published
- 2016
- Full Text
- View/download PDF
13. Placement and Routing
- Author
-
Pop, Paul, Minhass, Wajid Hassan, Madsen, Jan, Pop, Paul, Minhass, Wajid Hassan, and Madsen, Jan
- Published
- 2016
- Full Text
- View/download PDF
14. Verilog Design, Synthesis, and Netlisting of IoT-Based Arithmetic Logic and Compression Unit for 32 nm HVT Cells
- Author
-
Raj Mouli Jujjavarapu and Alwin Poulose
- Subjects
microprocessors ,operating systems ,internet of things (IoT) ,arithmetic logic unit (ALU) ,compression module ,Vedic multiplier ,fast multiplier ,HVT cell ,synthesis ,netlist - Abstract
Micro-processor designs have become a revolutionary technology almost in every industry. They brought the reality of automation and also electronic gadgets. While trying to improvise these hardware modules to handle heavy computational loads, they have substantially reached a limit in size, power efficiency, and similar avenues. Due to these constraints, many manufacturers and corporate entities are trying many ways to optimize these mini beasts. One such approach is to design microprocessors based on the specified operating system. This approach came to the limelight when many companies launched their microprocessors. In this paper, we will look into one method of using an arithmetic logic unit (ALU) module for internet of things (IoT)-enabled devices. A specific set of operations is added to the classical ALU to help fast computational processes in IoT-specific programs. We integrated a compression module and a fast multiplier based on the Vedic algorithm in the 16-bit ALU module. The designed ALU module is also synthesized under a 32-nm HVT cell library from the Synopsys database to generate an overview of the areal efficiency, logic levels, and layout of the designed module; it also gives us a netlist from this database. The synthesis provides a complete overview of how the module will be manufactured if sent to a foundry.
- Published
- 2022
15. A Clock Tree Prediction and Optimization Framework Using Generative Adversarial Learning
- Author
-
Anthony Agnesina, Yi-Chen Lu, Jeehyun Lee, Kambiz Samadi, and Sung Kyu Lim
- Subjects
Computer science ,Design space exploration ,business.industry ,Multi-task learning ,Machine learning ,computer.software_genre ,Computer Graphics and Computer-Aided Design ,Metamodeling ,Design objective ,Netlist ,Sequence learning ,Artificial intelligence ,Electrical and Electronic Engineering ,Physical design ,Transfer of learning ,business ,computer ,Software - Abstract
Modern physical design flows highly depend on design space exploration to find the commercial tools’ clock tree synthesis (CTS) parameters that lead to optimized clock trees. However, such exploration is often time-consuming and computationally inefficient. In this paper, we overcome this drawback by proposing a novel framework named GAN-CTS, which utilizes conditional generative adversarial network (GAN) to predict and optimize CTS outcomes. Our framework is built upon three sequential learning stages. First, to precisely characterize distinct designs, we leverage transfer learning to extract netlist features directly from placement images. Second, we perform regression learning using various methods to predict the target CTS outcomes and demonstrate that the proposed multitask learning approach achieves better accuracy than the metamodeling method adopted by previous works. To fully benefit from the predictions made by our framework, we further quantitatively interpret the importance of each CTS input parameter subject to various design objectives through attribution-based learning. Finally, generative adversarial learning is leveraged to optimize the target clock metrics with the guidance provided by the pre-trained regression model. To substantiate the generality of our framework, we perform validations on four unseen netlists that are not utilized in the training process. Experimental results conducted on real-world designs demonstrate that our framework (1) achieves an average prediction error of 3%, (2) improves the commercial tool’s auto-generated clock tree by 20.7% in clock power, 21.5% in clock wirelength, 36.1% in the worst skew, and (3) reaches an F1-score of 0.93 in the classification task of determining successful and failed CTS runs.
- Published
- 2022
16. GNN-RE: Graph Neural Networks for Reverse Engineering of Gate-Level Netlists
- Author
-
Satwik Patnaik, Abhrajit Sengupta, Hani Saleh, Mahmoud Al-Qutayri, Lilas Alrahis, Ozgur Sinanoglu, Johann Knechtel, and Baker Mohammad
- Subjects
Reverse engineering ,Hardware security module ,Theoretical computer science ,Source data ,Computer science ,Feature vector ,Feature extraction ,computer.software_genre ,Computer Graphics and Computer-Aided Design ,Logic gate ,Netlist ,Node (circuits) ,Electrical and Electronic Engineering ,computer ,Software ,Hardware_LOGICDESIGN - Abstract
This work introduces a generic, machine learning (ML)-based platform for functional reverse engineering (RE) of circuits. Our proposed platform GNN-RE leverages the notion of graph neural networks (GNNs) to (i) represent and analyze flattened/ unstructured gate-level netlists, (ii) automatically identify the boundaries between the modules or sub-circuits implemented in such netlists and (iii) classify the sub-circuits based on their functionalities. For GNNs in general, each graph node is tailored to learn about its own features and its neighboring nodes, which is a powerful approach for the detection of any kind of sub-graphs of interest. For GNN-RE, in particular, each node represents a gate and is initialized with a feature vector that reflects on the functional and structural properties of its neighboring gates. GNN-RE also learns the global structure of the circuit, which facilitates identifying the boundaries between subcircuits in a flattened netlist. Initially, to provide high-quality data for training of GNN-RE, we deploy a comprehensive dataset of foundational designs/components with differing functionalities, implementation styles, bit-widths, and interconnections. GNN-RE is then tested on the unseen shares of this custom dataset, as well as the EPFL benchmarks, the ISCAS-85 benchmarks, and the 74X series benchmarks. GNN-RE achieves an average accuracy of 98:82% in terms of mapping individual gates to modules, all without any manual intervention or post-processing. We also release our code and source data 1.
- Published
- 2022
17. Privacy-Preserving IP Verification
- Author
-
Dimitris Mouris, Charles Gouert, and Nektarios Georgios Tsoutsos
- Subjects
Sequential logic ,Correctness ,Computer science ,business.industry ,Cryptography ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,Deadlock ,computer.software_genre ,Computer Graphics and Computer-Aided Design ,law.invention ,law ,Embedded system ,Hardware_INTEGRATEDCIRCUITS ,Benchmark (computing) ,Netlist ,Compiler ,Electrical and Electronic Engineering ,business ,computer ,Software ,Hardware_LOGICDESIGN - Abstract
The rapid growth of the globalized integrated circuit (IC) supply chain has drawn the attention of numerous malicious actors that try to exploit it for profit. One of the most prominent targets of such parties is the third-party intellectual property (3PIP) vendors and their circuit designs. With the increasing number of transactions between vendors and system integrators, the threat of IP reuse and piracy has become a significant consideration for the IC industry. What is more, the correctness of 3PIP designs should be verified before integration, imposing another challenge for 3PIP vendors since they have to prove the functionality of their designs to system integrators while protecting the privacy of the circuit implementations. To eliminate this deadlock, we utilize the cryptographic technique of “zero-knowledge proofs” to enable 3PIP vendors to convince system integrators about various functional properties of a circuit (e.g., area, power, frequency) without disclosing its netlist (i.e., in zero-knowledge). Our approach comprises a circuit compiler that transforms arbitrary netlists into a zero knowledge-friendly format and a library of modules that provide cryptographic guarantees for various properties of the netlist while hiding the actual gates. We evaluate our method using combinational and sequential circuits from the ISCAS and ITC benchmark suites.
- Published
- 2022
18. Global Routing
- Author
-
Cho, Minsik, Pan, David Z., and Kao, Ming-Yang, editor
- Published
- 2016
- Full Text
- View/download PDF
19. A Novel Algorithm for Hardware Trojan Detection Through Reverse Engineering
- Author
-
Mary Lourde R and Sreeja Rajendran
- Subjects
Reverse engineering ,Very-large-scale integration ,Computer science ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit design ,computer.software_genre ,Computer Graphics and Computer-Aided Design ,Hardware Trojan ,Trojan ,Hardware_INTEGRATEDCIRCUITS ,Netlist ,Electrical and Electronic Engineering ,computer ,Algorithm ,Software ,Testability ,Hardware_LOGICDESIGN ,Abstraction (linguistics) - Abstract
Malicious alteration in an IC design is generally referred to as Hardware Trojans (HT). The involvement of multiple entities in the VLSI design cycle has made the process of HT detection very challenging. This paper presents a novel method for the detection of HT at the gate level of abstraction. A path retrace algorithm detects the nets added/deleted by an adversary along with its location. The netlists of the genuine circuit (design netlist) and the Trojan inserted circuit are used by the algorithm to detect the malicious nets in the circuit. This method utilizes testability analysis as the metric for segregating malicious nets in the compromised circuit netlist. Netlist of the fabricated IC, which is obtained through reverse engineering and the design netlist of the original circuit are used to determine the testability parameters such as controllability and observability of the nets. Based on the variation in testability metrics of a signal in the original circuit, the path retrace algorithm identifies the malicious gates inserted into the original circuit. In addition, the algorithm also helps to isolate the Trojan circuit and comprehend its functional implication on the original design. Using the list of malicious gates and compromised circuit netlist, it is possible to identify the Trojan nets inserted by the adversary. This technique is more effective in detecting functional Trojans as compared to techniques employing reverse engineered images as it is design parameter independent and impervious to noise.
- Published
- 2022
20. PACT: An Extensible Parallel Thermal Simulator for Emerging Integration and Cooling Technologies
- Author
-
Prachi Shukla, Sean S. Nemtzow, Zihao Yuan, Sofiane Chetoui, Sherief Reda, and Ayse K. Coskun
- Subjects
Multi-core processor ,Speedup ,Computer cooling ,Computer science ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,Heat sink ,Computer Graphics and Computer-Aided Design ,law.invention ,law ,Hardware_INTEGRATEDCIRCUITS ,Netlist ,Water cooling ,Transient (computer programming) ,Electrical and Electronic Engineering ,Software ,Simulation - Abstract
Thermal analysis is an essential step that enables co-design of the computing system (i.e., integrated circuits and computer architectures) with the cooling system (e.g., heat sink). Existing thermal simulation tools are limited by several major challenges that prevent them from providing fast solutions to large problem sizes that are necessary to conduct standard-cell level thermal analysis or to evaluate new technologies or large chips. To overcome these challenges, we introduce a SPICE-based PArallel Compact Thermal simulator (PACT) that achieves fast and accurate, standard-cell to architecture-level, steady-state and transient parallel thermal simulations. PACT utilizes the advantages of multicore processing (OpenMPI) and includes several solvers to speed up both steady-state and transient simulations. PACT can be easily extended to model a variety of emerging integration and cooling technologies by simply modifying the thermal netlist. In addition, PACT can also be used with popular architecture-level performance and power simulators. In comparison to a state-of-the-art finite-element method (FEM) based simulator (COMSOL), PACT has a maximum error of 2.77% and 3.28% for steady-state and transient thermal simulations, respectively. Compared to a popular compact thermal simulator, HotSpot, PACT demonstrates a speedup of up to 1.83× and 186× for steady-state and transient simulations, respectively. We also show the applicability and extensibility of PACT through modeling emerging integration and cooling technologies, such as monolithic 3D ICs and liquid cooling via microchannels, and full-system simulation integration on a 2.5D system with silicon-photonic network-on-chips (PNoCs).
- Published
- 2022
21. A Variation Aware Jitter Estimation Methodology in ROs Considering Over/Undershoots in NTV Regime
- Author
-
Lalit Mohan Dani, Bulusu Anand, and Neeraj Mishra
- Subjects
Control theory ,Saturation current ,Hardware_INTEGRATEDCIRCUITS ,Netlist ,Hardware_PERFORMANCEANDRELIABILITY ,Ring oscillator ,White noise ,Electrical and Electronic Engineering ,Cadence ,Noise (electronics) ,Voltage ,Jitter - Abstract
A time-domain jitter estimation methodology considering process-voltage-temperature (PVT) variations of the single-ended ring oscillator (SERO) at an early stage of design is presented for near-threshold voltage (NTV) regime where nonlinearities dominates. For the first time, the model accounts for the jitter due to the over/undershoot region which is critical in the NTV regime. Further, the model uses effective drive current, Ieff model. The Ieff is obtained considering the regions of device operation, instead of using only saturation current for jitter calculation. A time-domain jitter model is developed by considering the change in transition threshold points (TTPs) whose relative values are supply independent and Ieff of each region with the PVT variation, design parameters, and with the introduction of noise in the circuit. The model analyzes the effects of random (white noise) and deterministic (supply, substrate) noise in the NTV regime. This approach is physics/topologybased and is valid for different technologies. Post-layout simulations have been performed on parasitic extracted netlist using CADENCE and HSPICE in STM 65nm CMOS Process Design Kit (PDK) to validate the jitter model in the NTV regime.
- Published
- 2022
22. Efficient Formal Verification of Galois-Field Arithmetic Circuits Using ZDD Representation of Boolean Polynomials
- Author
-
Akira Ito, Naofumi Homma, and Rei Ueno
- Subjects
Polynomial ,Gröbner basis ,Binary decision diagram ,Computer science ,Formal equivalence checking ,Netlist ,Electrical and Electronic Engineering ,Arithmetic ,Formal methods ,Computer Graphics and Computer-Aided Design ,Equivalence (measure theory) ,Formal verification ,Software - Abstract
In this study, we present a new formal method for verifying the functionality of Galois-field (GF) arithmetic circuits. Assuming that the input–output relation (i.e., the specification of a GF arithmetic circuit) can be represented as polynomials over 2, the proposed method formally checks the equivalence between GF polynomials derived from a netlist and the specification. To efficiently verify the equivalence, we employ a zero-suppressed binary decision diagram (ZDD) to represent polynomials over 2. Even though polynomial reduction is the most time-consuming process of verification (i.e., equivalence checking), our new algorithm can efficiently reduce the GF polynomials in the form of a zero-suppressed binary decision diagram derived from the target netlist. The proposed algorithm derives the polynomials representing all intermediate nodes (i.e., the outputs of all gates) in the order from primary inputs to those primary outputs that are in accordance with the reverse topological traversal order. We demonstrated the efficiency and effectiveness of the proposed method via a set of experimental verifications. In particular, we confirmed that the proposed method can verify practical GF multipliers (including those used in standardized elliptic curve cryptography) approximately 30 times faster on average and at most 170 times faster than the best conventional method.
- Published
- 2022
23. Concerted Wire Lifting: Enabling Secure and Cost-Effective Split Manufacturing
- Author
-
Johann Knechtel, Satwik Patnaik, Mohammed Ashraf, Haocheng Li, and Ozgur Sinanoglu
- Subjects
Reverse engineering ,Hardware security module ,Security analysis ,Lift (data mining) ,Computer science ,Distributed computing ,Flow network ,computer.software_genre ,Computer Graphics and Computer-Aided Design ,Netlist ,Leverage (statistics) ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,computer ,Software - Abstract
In this work, we advance the security promise of split manufacturing through judicious handling of interconnects. First, we study the cost-security trade-offs underlying for split manufacturing, which are limiting its adoption. Next, aiming to resolve these concerns, we propose three effective and efficient strategies to dedicatedly lift nets to higher metal layers. Towards this end, we design custom “elevating cells” and devise procedures for routing blockages. All our techniques are employed in a commercial-grade computer-aided design (CAD) framework. For our security analysis, we leverage various state-of-the-art attacks (network flow-based attack, routing-congestion-aware attack, and deep learning-based attack), established metrics (CCR, OER, and HD), and advanced metrics (percentage of netlist recovery and mutual information). Our extensive experiments show that our scheme provides superior protection. Simultaneously, we induce reasonably low and controllable overheads on power and performance, without any silicon area costs. Besides, we support higher split layers, which helps to alleviate concerns on the practicality of split manufacturing.
- Published
- 2022
24. Robust Deep Learning for IC Test Problems
- Author
-
Ramesh Karri, Benjamin Tan, Siddharth Garg, and Animesh Basak Chowdhury
- Subjects
Structure (mathematical logic) ,business.industry ,Computer science ,Deep learning ,Context (language use) ,02 engineering and technology ,Machine learning ,computer.software_genre ,Computer Graphics and Computer-Aided Design ,020202 computer hardware & architecture ,Robustness (computer science) ,Scalability ,0202 electrical engineering, electronic engineering, information engineering ,Netlist ,Electronic design automation ,Artificial intelligence ,Electrical and Electronic Engineering ,business ,computer ,Software ,Abstraction (linguistics) - Abstract
Numerous machine learning (ML), and more recently, deep learning (DL) based approaches, have been proposed to tackle scalability issues in electronic design automation, including those in integrated circuit (IC) test. This paper examines state-of-the-art DL for IC test and highlights two critical unaddressed challenges. The first challenge involves identifying fit-for-purpose statistical metrics to train and evaluate ML model performance and usefulness in IC test. Our work shows that current metrics do not reflect how well ML models have learned to generalize and perform in the domain-specific context. From this insight, we propose and evaluate alternative metrics that better capture a model’s likely usefulness in the IC test problem. The second challenge is to choose an appropriate input abstraction so as to enable an ML model to learn robust and reliable features. We investigate how well DL for IC test techniques generalize by exploring their robustness to perturbations that alter a netlist’s structure but do not alter its functionality. This paper provides insights into challenges via empirical evaluation of the state-ofthe- art and offers guidance for future work.
- Published
- 2022
25. Multilevel Dataflow-Driven Macro Placement Guided by RTL Structure and Analytical Methods
- Author
-
Alex Vidal-Obiols, Marc Galceran-Oms, Jordi Petit, Jordi Cortadella, F. Martorell, Universitat Politècnica de Catalunya. Doctorat en Computació, Universitat Politècnica de Catalunya. Departament de Ciències de la Computació, and Universitat Politècnica de Catalunya. ALBCOM - Algorismia, Bioinformàtica, Complexitat i Mètodes Formals
- Subjects
Standards ,Circuits integrats -- Disseny i construcció ,Layout ,Dataflow ,Computer science ,Shape ,Enginyeria electrònica::Microelectrònica::Circuits integrats [Àrees temàtiques de la UPC] ,Timing closure ,Computer Graphics and Computer-Aided Design ,Slicing ,Tools ,Set (abstract data type) ,Tree (data structure) ,Computer engineering ,Integrated circuit modeling ,Netlist ,Manuals ,Timing ,Electrical and Electronic Engineering ,Macro ,Physical design ,Integrated circuits -- Design and construction ,Software - Abstract
When RTL designers define the hierarchy of a system, they exploit their knowledge about the conceptual abstractions devised during the design and the functional interactions between the logical components. This valuable information is often lost during physical synthesis. This paper proposes HiDaP, a novel multi-level algorithm that uses RTL information and analytical methods for the macro placement problem of modern designs dominated by multi-cycle connection pipelines. By taking advantage of the hierarchy tree, the netlist is divided into blocks containing macros and standard cells, and their dataflow affinity is inferred considering the register latency and flow width of their interaction. The layout is represented using slicing structures and generated with a top-down algorithm capable of handling blocks with both hard and soft components. An adaptive multi-objective cost function is used to simultaneously minimize wirelength, timing, overlap and distance to preferred locations, which can be user-defined or generated by analytic methods (spectral and force-directed). These techniques have been applied to a set of large industrial circuits and compared against state-of-theart commercial and academic placers, and also to handcrafted floorplans generated by expert back-end engineers. The proposed approach outperforms previous algorithmic methods and can produce solutions with better wirelength and timing than the best handcrafted floorplans. Post-routing layouts are almost brought to timing closure and DRC cleanness with minimal engineer modification, showing that the generated floorplans provide an excellent starting point for the physical design flow and contribute to reduce turn-around time significantly. This work has been partially supported by a grant from Inphi Corporation and funds from the Spanish Ministry for Economy and Competitiveness and the European Union (FEDER funds) under grant TIN2017-86727-C2-1-R, and the Generalitat de Catalunya (2017 SGR 786).
- Published
- 2021
26. Efficient Performance Modeling for Automated CMOS Analog Circuit Synthesis
- Author
-
Lihong Zhang and Zhenxin Zhao
- Subjects
Transistor model ,Operating point ,Computer science ,Overhead (engineering) ,Semiconductor device modeling ,02 engineering and technology ,Symbolic data analysis ,020202 computer hardware & architecture ,Data modeling ,Computer Science::Hardware Architecture ,Computer Science::Emerging Technologies ,Computer engineering ,CMOS ,Hardware and Architecture ,0202 electrical engineering, electronic engineering, information engineering ,Netlist ,Electrical and Electronic Engineering ,Software ,Hardware_LOGICDESIGN - Abstract
Fast and accurate performance estimation can significantly enhance the efficiency of automated analog circuit synthesis. This article presents a novel performance modeling method that can efficiently estimate circuit performance with ignorable model building overhead for variant circuit topologies. The proposed method starts with accurate transistor modeling by taking advantage of the advanced neural network (NN) fitting technique. It then utilizes the established transistor models and topology information from a circuit netlist to precisely discover the circuit dc operating point. Specialized deterministic schemes have been developed with the aid of an undirected bipartite graph converted from the circuit netlist. Moreover, the accurate NN transistor models help directly derive the small-signal model parameter values, which can be further applied to conduct symbolic analysis to evaluate circuit performances. Our experimental results not only compare various deterministic dc operating point computation schemes but also demonstrate the efficient model development, general applicability, speedy execution, and fair prediction of our proposed performance modeling method.
- Published
- 2021
27. A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects
- Author
-
Johann Knechtel, Ozgur Sinanoglu, Satwik Patnaik, and Mohammed Ashraf
- Subjects
FOS: Computer and information sciences ,Hardware security module ,Security analysis ,Computer Science - Cryptography and Security ,Computer science ,Computer Science - Emerging Technologies ,CAD ,02 engineering and technology ,Integrated circuit ,law.invention ,law ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Computer Science (miscellaneous) ,business.industry ,020202 computer hardware & architecture ,Computer Science Applications ,Human-Computer Interaction ,Obfuscation (software) ,Emerging Technologies (cs.ET) ,Trojan ,Embedded system ,Netlist ,OpenCores ,business ,Cryptography and Security (cs.CR) ,Information Systems - Abstract
Split manufacturing (SM) and layout camouflaging (LC) are two promising techniques to obscure integrated circuits (ICs) from malicious entities during and after manufacturing. While both techniques enable protecting the intellectual property (IP) of ICs, SM can further mitigate the insertion of hardware Trojans (HTs). In this paper, we strive for the "best of both worlds," that is we seek to combine the individual strengths of SM and LC. By jointly extending SM and LC techniques toward 3D integration, an up-and-coming paradigm based on stacking and interconnecting of multiple chips, we establish a modern approach to hardware security. Toward that end, we develop a security-driven CAD and manufacturing flow for 3D ICs in two variations, one for IP protection and one for HT prevention. Essential concepts of that flow are (i) "3D splitting" of the netlist to protect, (ii) obfuscation of the vertical interconnects (i.e., the wiring between stacked chips), and (iii) for HT prevention, a security-driven synthesis stage. We conduct comprehensive experiments on DRC-clean layouts of multi-million-gate DARPA and OpenCores designs (and others). Strengthened by extensive security analysis for both IP protection and HT prevention, we argue that entering the third dimension is eminent for effective and efficient hardware security., Accepted for IEEE TETC
- Published
- 2021
28. PROTON: Post-Synthesis Ferroelectric Thickness Optimization for NCFET Circuits
- Author
-
Sami Salamin, Hussam Amrouch, Georgios Zervakis, Jorg Henkel, and Yogesh Singh Chauhan
- Subjects
Materials science ,business.industry ,Transistor ,Hardware_PERFORMANCEANDRELIABILITY ,Capacitance ,law.invention ,Capacitor ,CMOS ,law ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Netlist ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Hardware_LOGICDESIGN ,Negative impedance converter ,Electronic circuit - Abstract
For the first time, we demonstrate an optimization technique to synthesize circuits in the Negative Capacitance FET (NCFET) technology. NCFET is a rapidly emerging technology to replace the currently employed CMOS technology due to its profound ability to overcome the fundamental limit in scaling along with its full compatibility with the existing fabrication process. This is achieved by replacing the traditional transistor gate dielectric with a ferroelectric layer that manifests itself as a Negative Capacitance (NC), which magnifies the electric field. As a result, NCFET-based circuits can operate at a higher clock frequency without the need to increase the operating voltage. NC breaks one of the fundamental laws in physics in which the total capacitance of two capacitors connected in series becomes larger–instead of smaller in ordinary capacitors– than each of them. This could lead to sub-optimal netlists, suffering from significant increase in dynamic power and IR-drops. To suppress that, we employ the relation between delay decrease and capacitance increase of gates w.r.t ferroelectric thickness. Our technique takes an optimized netlist, obtained from commercial EDA tools, and then selectively determines the optimal ferroelectric thickness for each gate in the netlist, so that the maximum performance provided by NCFET is still achieved while the dynamic power is considerably decreased (45% on average), i.e., no trade-offs . Particularly, our technique enables the full exploitation of the performance benefits originating by NCFET, at a significantly lower (power) cost. Compared to state of the art, our technique decreases the energy-delay-product of circuits by 25% on average and reduces the deleterious effects of IR-drop by 56%. Hence, efficiency and reliability of circuits are improved without any loss in the obtained performance from NCFET.
- Published
- 2021
29. Thwarting All Logic Locking Attacks: Dishonest Oracle With Truly Random Logic Locking
- Author
-
Ozgur Sinanoglu, Nikolaos Karousos, E. Kalligeros, Irene G. Karybali, and Nimisha Limaye
- Subjects
Computer science ,Design flow ,Scan chain ,02 engineering and technology ,Computer security ,computer.software_genre ,Computer Graphics and Computer-Aided Design ,Oracle ,020202 computer hardware & architecture ,Logic synthesis ,0202 electrical engineering, electronic engineering, information engineering ,Key (cryptography) ,Netlist ,Electrical and Electronic Engineering ,computer ,Random logic ,Software - Abstract
While logic locking is a promising defense to protect hardware designs, many attacks have been shown to undermine its security by retrieving the secret key. All the powerful attacks rely on a working chip, i.e., an oracle, and in particular, heavily use the test access. The proposed technique DisORC turns the oracle into a dishonest one whenever a potential attack is detected. DisORC works on the premise that structural testing of chips need not be performed with the correct functionality. We implement this capability by adding circuitry around a logic-locked design that reconfigures its functionality upon detecting access to scan chains. Any attempt to access scan chains disconnects the secret key from the circuit, and clears all of its traces, isolating and securing it. We also pair this defense with a truly random logic locking (TRLL) scheme that makes random decisions in inserting key gates and retaining signal polarities without relying on any logic synthesis technique to perform bubble pushing. Any netlist analysis-based attack, known or anticipated, will then learn nothing useful to infer the key values. The combined defense DisORC + TRLL thwarts oracle-based and netlist analysis-based attacks while delivering sufficient corruption levels at the outputs. We also show that the proposed defense is cost effective and can be integrated into the design flow easily. The proposed logic locking defense provides protection against untrusted foundry, testing facility, end users, and any combination of them colluding together.
- Published
- 2021
30. Fast Simulation of Analog Circuit Blocks Under Nonstationary Operating Conditions
- Author
-
Alessandro Zanco, Pedro Toledo, Stefano Grivet-Talocia, Giuseppe Carlo Calafiore, Paolo Stefano Crovetti, Anton V. Proskurnikov, and Tommaso Bradde
- Subjects
Lyapunov function ,Small-signal analysis ,Stability criteria ,Speedup ,Asymptotic stability ,Numerical models ,Computer science ,Quadratic stability ,Transfer function ,Industrial and Manufacturing Engineering ,Circuit stability ,symbols.namesake ,Mathematical model ,Exponential stability ,Control theory ,Voltage regulators ,Electrical and Electronic Engineering ,Lyapunov functions ,Operating point ,LPV models ,Linearized models ,Numerical stability ,Filter (signal processing) ,Behavioral models ,Integrated circuit modeling ,Parameterized models ,Electronic, Optical and Magnetic Materials ,Netlist ,symbols - Abstract
This article proposes a black-box behavioral modeling framework for analog circuit blocks (CBs) operating under small-signal conditions around nonstationary operating points. Such variations may be induced either by changes in the loading conditions or by event-driven updates of the operating point for system performance optimization, e.g., to reduce power consumption. An extension of existing data-driven parameterized reduced-order modeling techniques is proposed, which considers the time-varying bias components of the port signals as nonstationary parameters. These components are extracted at runtime by a low-pass filter and used to instantaneously update the matrices of the reduced-order state-space model realized as a SPICE netlist. Our main result is a formal proof of quadratic stability of such linear parameter varying (LPV) models, enabled by imposing a specific model structure and representing the transfer function in a basis of positive functions whose elements constitute a partition of unity. The proposed quadratic stability conditions are easily enforced through a finite set of small-size linear matrix inequalities (LMIs), used as constraints during model construction. Numerical results on various CBs, including voltage regulators, confirm that our approach not only ensures the model stability but also provides speedup in runtime up to two orders of magnitude with respect to full transistor-level circuits.
- Published
- 2021
31. Converting Close-Looped Electronic Circuit Image with Single I/O Symbol into Netlist
- Author
-
Jongwook Si, Moonnyeon Kim, and Sungyoung Kim
- Subjects
Computer science ,Symbol (programming) ,Netlist ,Algorithm ,Object detection ,Electronic circuit ,Image (mathematics) - Abstract
전자 도면이나 관련 서적에는 회로도가 많이 포함되어 있고 결과를 보기 위해서는 회로 구성 작업이 필요하다. 그런데 실제로 구성하는 것은 비용과 노동력의 낭비가 크기 때문에 컴퓨터 시뮬레이션 프로그램을 많이 사용한다. 하지만 시뮬레이션 프로그램은 사용자가 회로를 배치하고 값을 입력하는 등의 수동적인 작업이 필요하다. 이에 본 논문에서는 전자 회로의 도면 영상을 입력하면 자동으로 PSPICE 네트리스트로 변환하는 기술을 개발하였다. 우선 회로 심볼에 대한 학습을 통해 회로 심볼을 인식하고 인식한 심볼들을 도면에서 제거한다. 그리고 심볼이 제거된 도면에서 영상처리 기술을 활용하여 회로 심볼들을 연결하는 전선 사이의 관계를 분석한다. 마지막으로 심볼 인식 및 전선 분석 정보를 종합하여 PSPICE 네트리스트를 생성한다. 본 논문에서 제안한 방법은 단일 입출력으로 구성된 순환형 전자 회로도에 대해 우수한 성능을 나타내는 것을 확인하였다.
- Published
- 2021
32. SC-COTD: Hardware Trojan Detection Based on Sequential/Combinational Testability Features using Ensemble Classifier
- Author
-
Alireza Shafieinejad, Mahshid Tebyanian, and Azadeh Mokhtarpour
- Subjects
business.industry ,Computer science ,Circuit design ,Pattern recognition ,Controllability ,Hardware Trojan ,Classifier (linguistics) ,Netlist ,Artificial intelligence ,Observability ,Electrical and Electronic Engineering ,Cluster analysis ,business ,Testability - Abstract
Security against Hardware Trojans (HT) is an important concern in integrated circuits (IC) design and fabrication. Most of the current HT detection methods are based on the golden model of circuit design. Further, some approaches require test pattern for HTs activation. In this paper, we propose SC-COTD (Sequential/Combinational Controllability and Observability features for hardware Trojan Detection), an effective hardware Trojan detection to get rid of both golden chip and test pattern limitations. SC-COTD uses both sequential and combinational testability measures to detect and locate HT signals by a machine learning approach. This method deploys an ensemble classifier based on k-means clustering. The clustering models have diverse variety in testability features along with size of clustering which inspect and reveal different aspects of netlist conventional for a collaborative scheme. The clustering results are filtered and then fed into a decision-making procedure based on majority voting to eliminate the limited flaws of each model. The evaluation results on TrustHUB benchmarks demonstrate that, SC-COTD can detect and locate HTs with 100% without any false negative, i.e., Recall = 1. Although our method has a limited number of false positive, it has the best performance in comparison to well-known previous approaches.
- Published
- 2021
33. AxLS: A Framework for Approximate Logic Synthesis Based on Netlist Transformations
- Author
-
Muhammad Shafique, Jorg Henkel, Jorge Castro-Godinez, and Humberto Barrantes-Garcia
- Subjects
Computer science ,computer.internet_protocol ,Logic synthesis ,Transformation (function) ,Computer engineering ,Logic gate ,Netlist ,Electrical and Electronic Engineering ,Representation (mathematics) ,Design paradigm ,computer ,XML ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
With the rise of Approximate Computing as an energy-efficient design paradigm that is amenable to error-tolerant applications, different Approximate Logic Synthesis (ALS) techniques have been reported in the literature to generate approximate circuits from accurate implementations automatically. One ALS technique focuses on performing structural netlist transformations. The gate-level netlist representation of a circuit is simplified to reduce the circuit area and power consumption while producing a defined error level at the output. However, currently, there is no framework or tool available to test and explore existing netlist transformation techniques for ALS. This limits the proposal of novel approaches and their corresponding comparison against state-of-the-art contributions. In this brief, we present AxLS, an open-source framework for ALS techniques based on netlist transformations. We validate our framework’s functionality by implementing a reported ALS technique and one proposed, using open-source circuit synthesis and simulation tools, and generating approximate arithmetic circuits from accurate RTL descriptions.
- Published
- 2021
34. Autonomous Application of Netlist Transformations Inside Lagrangian Relaxation-Based Optimization
- Author
-
David Chinnery, Chrysostomos Nicopoulos, Apostolos Stefanidis, Giorgos Dimitrakopoulos, and Dimitrios Mangiras
- Subjects
Mathematical optimization ,Interleaving ,Computer science ,Heuristic (computer science) ,Timing closure ,Clock skew ,Computer Graphics and Computer-Aided Design ,symbols.namesake ,Lagrangian relaxation ,Netlist ,symbols ,Leverage (statistics) ,Electrical and Electronic Engineering ,Physical design ,Software - Abstract
Timing closure is a complex process that involves many iterative optimization steps applied in various phases of the physical design flow. Lagrangian relaxation (LR)-based optimization has been established as a viable approach for this. We extend LR-based optimization by interleaving in each iteration various techniques, such as gate and flip-flop sizing, buffering to fix late and early timing violations, pin swapping, gate merge/split transformations, and useful clock skew. In all cases, locally optimal decisions are made using LR-based cost functions. In each iteration of LR-based optimization, we leverage the multiarmed bandit (MAB) model to automatically pick which optimization heuristic should be applied to the design. The goal is to improve the performance metrics based on the rewards learned from the previous applications of each heuristic and the runtime cost paid for the received reward. The fine-grained combination of an LR-based optimization flow with a statistical recommendation system allows for the autonomous execution of the optimization flow and results in significant quality-of-results improvement relative to the state-of-the-art. More specifically, our flow achieves 17% lower clock period, while also saving 15% power and 6% area, on average, on the TAU2019 benchmarks, as compared to the TAU2019 contest winner, and 25% better leakage power on the ISPD13 benchmarks, as compared to the best reported results.
- Published
- 2021
35. PhaseCamouflage: Leveraging Adiabatic Operation to Thwart Reverse Engineering
- Author
-
Emre Salman and Ivan Miketic
- Subjects
Reverse engineering ,Adiabatic circuit ,Ubiquitous computing ,business.industry ,Computer science ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,computer.software_genre ,Field (computer science) ,020202 computer hardware & architecture ,Obfuscation (software) ,Hardware and Architecture ,Logic gate ,Embedded system ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Netlist ,Electrical and Electronic Engineering ,Adiabatic process ,business ,computer ,Software ,Hardware_LOGICDESIGN - Abstract
This article focuses on thwarting reverse-engineering attacks and intellectual property (IP) theft by leveraging charge-recycling adiabatic circuits. The adiabatic circuit operation has recently received attention for the Internet-of-Things (IoT) applications due to high energy efficiency and enhanced security characteristics. Such applications typically consist of resource-constrained designs and are often deployed in the field, making them particularly vulnerable to malicious attacks. PhaseCamouflage is a circuit obfuscation technique that leverages the inherent phase differences (PDs) in power supply voltage of adiabatic logic gates and exhibits strong resistance against structural/removal attacks. The proposed method relies on inserting camouflaged PDs in the power supply voltage of subsequent logic gates while still producing a functional netlist. PhaseCamouflage is a unique logic obfuscation technique with low overhead, particularly applicable to pervasive computing applications where both efficiency and security are of primary concern.
- Published
- 2021
36. An Effective Block Pin Assignment Approach for Block-Level Monolithic 3-D ICs
- Author
-
Jinwoo Kim, Bon Woong Ku, Sung Kyu Lim, and Junsik Yoon
- Subjects
3-D integrated circuits ,Computer engineering. Computer hardware ,Interconnection ,physical design (EDA) ,Computer science ,Boundary (topology) ,Parallel computing ,Integrated circuit ,block-level design ,Timing closure ,Chip ,Electronic, Optical and Magnetic Materials ,law.invention ,TK7885-7895 ,Reduction (complexity) ,block pin assignment ,pin-in-the-area ,Hardware and Architecture ,law ,Block (telecommunications) ,Hardware_INTEGRATEDCIRCUITS ,Netlist ,Electrical and Electronic Engineering - Abstract
In a 2-D design, the block pins are located at the periphery of a block optimally since blocks are placed side-by-side horizontally in a single placement layer. However, monolithic 3-D (M3D) integration relieves this boundary constraint by allowing vertical block communication between different tiers based on an nm-scale pitch of 3-D interconnection. In this article, we present a design methodology named pin-in-the-area that assigns block pins at any position inside the boundary of a block using commercial 2-D place-and-route (P&R) tools and enables an efficient block implementation and integration for a block-level M3D integrated chips (ICs). Our pin-in-the-area starts from the netlist restructuring and connectivity-aware tier-by-tier chip planning, which defines blocks and decides their sizes and $(X,Y,Z)$ locations for a two-tier M3D design. Next, we perform wirelength-driven 3-D placement to minimize 3-D half-perimeter wirelength (HPWL) and find optimal pin locations inside the boundary of a block. Once block designs are done, we apply the unique macro handling scheme to the top-level timing closure. Based on a 28-nm two-tier M3D hierarchical design result, we show that our solution offers 13.6% and 24.7% energy-delay-product reduction compared to the M3D design with pins assigned at the block boundaries and its 2-D counterpart, respectively.
- Published
- 2021
37. Hardware Trojan Free Netlist Identification: A Clustering Approach
- Author
-
Rajesh Kumar Biswal, Bibhash Sen, Suchismita Roy, Mahabub Hasan Mahalat, and Anindan Mondal
- Subjects
Computer science ,020208 electrical & electronic engineering ,Whitelist ,02 engineering and technology ,020202 computer hardware & architecture ,Controllability ,Identification (information) ,Computer engineering ,Hardware Trojan ,0202 electrical engineering, electronic engineering, information engineering ,Netlist ,Benchmark (computing) ,Overhead (computing) ,Electrical and Electronic Engineering ,Cluster analysis - Abstract
Hardware Trojans (HT) have emerged as a significant threat to both the IC industry and the military due to their stealthy nature and destructive capabilities. An HT is a small piece of hardware (circuit) embedded by an adversary to disrupt the victim circuit’s regular operation. As a result, it becomes an utmost necessity to distinguish standard signals from them. The detection of HT has become critical due to the presence of enormous search space combined with its small size. A clustering-based approach is proposed to identify benign signals in this work. The proposed approach combines both transition probability and combinational controllability to generate an effective HT free whitelist. It reduces the overhead of search space for HT detection. The clusters generated (whitelist) are analyzed in the presence of several ultra-small triggers which advocates the efficacy of the proposed solution. Simulation results on various ISCAS benchmark circuits validate the significance and quality of such clusters in terms of observed transition. Experimental results also underpin the proposed methodology’s superiority over existing techniques by identifying proper whitelist easily.
- Published
- 2021
38. Impact of Random Phase Distribution in Ferroelectric Transistors-Based 3-D NAND Architecture on In-Memory Computing
- Author
-
Shimeng Yu, Jae Hur, Wonbo Shim, Gihun Choe, Panni Wang, and Asif Islam Khan
- Subjects
010302 applied physics ,Hardware_MEMORYSTRUCTURES ,Materials science ,business.industry ,Spice ,Transistor ,Phase (waves) ,NAND gate ,01 natural sciences ,Ferroelectricity ,Electronic, Optical and Magnetic Materials ,law.invention ,In-Memory Processing ,law ,0103 physical sciences ,Netlist ,Optoelectronics ,Multiplication ,Electrical and Electronic Engineering ,business - Abstract
Three-dimensional NAND architecture (3-D NAND) based on ferroelectric field-effect transistors (FeFETs) is explored for in-memory computing. In ferroelectric Hafnia-based polycrystalline thin film, which is deposited on the gate of the FeFETs, the monoclinic (M), and orthorhombic (O) phases coexist. These two phases of positional distribution introduce a read-out current variation in the 3-D NAND of FeFETs. Herein, we employ TCAD simulations to quantify such variation and optimize bias conditions for improving the accuracy of in-memory computing. Furthermore, the array-level impact of the phase variation on vector-matrix multiplication has been investigated using a 3-D netlist with SPICE simulations, indicating sufficient read-out accuracy possible for analog-to-digital conversion.
- Published
- 2021
39. The Use of Genetic Programming to Evolve Passive Filter Circuits
- Author
-
Ogri J. Ushie, Maysam F. Abbod, and Julie C. Ogbulezie
- Subjects
genetic folding ,genetic programming ,netlist ,passive filter circuits ,symbolic circuit analysis in Matlab ,Technology ,Engineering (General). Civil engineering (General) ,TA1-2040 - Abstract
This paper introduces the use of Genetic Programming (GP), Genetic Folding and symbolic circuit analysis in Matlab for the evolution of passive filter circuits. Instead of combining MATLAB and PSPICE in electronic circuit simulation, in this work, only MATLAB is used. It helps to reduce elapsed time for transferring the simulation between the two software packages. The circuit evolved from GP using the Matlab program and is automatically converted into a symbolic netlist also by using a Matlab code. The netlist is fed into symbolic circuit analysis in Matlab (SCAM); the SCAM is used to generate matrices that are used for simulation. In this case, it is used to analyse frequency response of passive low-pass, high-pass and band-pass filter circuits. The algorithm is tested with four different examples and the results presented have proved that the algorithm is efficient concerning the design wise. The work has provided an alternative way of using GP for the evolution of passive filter circuits.
- Published
- 2017
40. MAGICAL: An Open- Source Fully Automated Analog IC Layout System from Netlist to GDSII
- Author
-
Shaolan Li, Yibo Lin, Mingjie Liu, Nan Sun, David Z. Pan, Keren Zhu, Biying Xu, Hao Chen, and Xiyuan Tang
- Subjects
Computer science ,02 engineering and technology ,Integrated circuit ,Integrated circuit layout ,020202 computer hardware & architecture ,law.invention ,Open source ,Fully automated ,Computer architecture ,Hardware and Architecture ,law ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Netlist ,Electronic design automation ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,IBM ,Software - Abstract
Editor’s note: This article presents MAGICAL, which is a fully automated analog IC layout system. MAGICAL takes a netlist and design rules as inputs, and it produces the final GDS layout in a fully automated fashion. — Sherief Reda, Brown University — Leon Stock, IBM — Pierre-Emmanuel Gaillardon, University of Utah
- Published
- 2021
41. Topology Variations of an Amplifier-based MOS Analog Neural Network Implementation and Weights Optimization
- Author
-
Fabian L. Cabrera, Tiago Weber, and Diogo da Silva Labres
- Subjects
Quantitative Biology::Neurons and Cognition ,Artificial neural network ,Computer science ,Amplifier ,Activation function ,Topology (electrical circuits) ,Topology ,Network topology ,Surfaces, Coatings and Films ,law.invention ,CMOS ,Hardware and Architecture ,law ,Signal Processing ,Netlist ,Resistor - Abstract
Neural networks are achieving state-of-the-art performance in many applications, from speech recognition to computer vision. A neuron in a multi-layer network needs to multiply each input by its weight, sum the results and perform an activation function. This paper is an extended version of the article in which we present an implementation of an amplifier-based MOS analog neuron and the optimization of the synaptic weights using in-loop circuit simulations. In addition to the base topology, we present two variations of the original conference paper topology to reduce area and power. MOS transistors operating in the triode region are used as variable resistors to convert the input and weight voltage to proportional input current. To test the analog neuron in full networks, an automatic generator is developed to produce a netlist based on the number of neurons on each layer, inputs, and weights. Simulation results using a CMOS 180 nm technology for all topologies demonstrate the neuron proper transfer function and its functionality while trained in test datasets.
- Published
- 2021
42. Variation-Aware Delay Fault Testing for Carbon-Nanotube FET Circuits
- Author
-
Krishnendu Chakrabarty, Arjun Chaudhuri, Sanmitra Banerjee, and August Ning
- Subjects
Computer science ,02 engineering and technology ,Propagation delay ,Automatic test pattern generation ,Fault (power engineering) ,020202 computer hardware & architecture ,Hardware and Architecture ,Logic gate ,Test set ,0202 electrical engineering, electronic engineering, information engineering ,Netlist ,Electronic engineering ,Node (circuits) ,Sensitivity (control systems) ,Electrical and Electronic Engineering ,Software - Abstract
Sensitivity to process variations and manufacturing defects are major showstoppers for the high-volume manufacturing of carbon nanotube field-effect transistors (CNFETs). These imperfections affect gate delay and may remain undetected when test patterns obtained using conventional test-generation techniques are used. We propose a new test generation method that takes CNFET-specific process variations into account and identifies multiple testable long paths through each node in a netlist. In contrast to state-of-the-art techniques, our method can also handle variations that have a nonlinear impact on the propagation delay. The generated test patterns ensure the detection of delay faults through the longest path, even under random CNFET process variations. The proposed method shows significant improvement in the statistical delay quality level (SDQL) compared with a state-of-the-art technique and a commercial ATPG tool for multiple benchmarks. We observed a minimum of 17.1% improvement in the SDQL offered by our patterns over a test set of the same size generated by the commercial tool. We also show that our method, when integrated with the conventional transition fault test flow, offers a significant improvement in the quality of test patterns under random variations. Moreover, the proposed method is flexible and can be easily extended to other emerging device technologies.
- Published
- 2021
43. A Decomposition Workflow for Integrated Circuit Verification and Validation
- Author
-
Kimura, Adam, Scholl, Jon, Schaffranek, James, Sutter, Matthew, Elliott, Andrew, Strizich, Mike, and Via, Glen David
- Published
- 2020
- Full Text
- View/download PDF
44. MF-CAE: A Novel Lab on a Chip Simulation Tool.
- Author
-
Yi ZENG, Li SUN, and MASTRANGELO, C. H.
- Subjects
MICROFLUIDICS ,MICROFLUIDIC devices ,COMPUTER-aided design ,SIMULATION methods & models ,FINITE element method - Published
- 2016
45. Modelling and Optimization of Phase Locked Loop under Constrained Channel Length and Width of MOSFETs
- Author
-
Debiprasad Priyabrata Acharya, Umakanta Nanda, Debasish Nayak, and Prakash Kumar Rout
- Subjects
010302 applied physics ,Materials science ,Evolutionary algorithm ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Multi-objective optimization ,Electronic, Optical and Magnetic Materials ,Phase-locked loop ,CMOS ,0103 physical sciences ,Phase noise ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Netlist ,0210 nano-technology ,Electronic circuit - Abstract
CMOS integrated circuits consisting of MOSFETs have tradeoffs among their performance parameters. Hence they need minimization in those tradeoffs calling for multi objective optimization to yield a circuit with enhanced characteristics. To perform simultaneous optimization of the Phase locked loop (PLL) performances using an effective multi objective optimization technique saving the designer’s time and causing the near best performance is the motivation of this work. Though the designer can optimize the circuit in the netlist level, it is less effective and a time consuming iterative process and sometimes it is next to impossible for complex and nanoscale circuits with large number of MOSFET devices and interconnects. Performance parameters like phase noise, lock time and power consumption are optimized subject to the practical design constraints using an efficient multi-objective optimization technique, infeasibility driven evolutionary algorithm (IDEA) in a real time environment. Using design parameters like the channel length and width of the MOSFETs for optimal performance, the PLL is simulated for model validation. Significantly superior performance achieved by the designed PLL is demonstrated. The phase noise, average power consumption and lock time achieved here are −126.3 dBc/Hz at 1 MHz offset frequency, 1.523 mW and 50 nS respectively.
- Published
- 2021
46. STT-BSNN: An In-Memory Deep Binary Spiking Neural Network Based on STT-MRAM
- Author
-
Yasuhiko Nakashima, Renyuan Zhang, Quang-Kien Trinh, and Van-Tinh Nguyen
- Subjects
Spiking neural network ,Magnetoresistive random-access memory ,Hardware_MEMORYSTRUCTURES ,General Computer Science ,Computer science ,General Engineering ,STT-MRAM ,emerging memory technology ,neuromorphic computing ,TK1-9971 ,Memory management ,CMOS ,in-memory computing ,Electronic engineering ,Netlist ,General Materials Science ,Electrical engineering. Electronics. Nuclear engineering ,Latency (engineering) ,process variation ,MNIST database ,Electronic circuit ,Binary spiking neural network - Abstract
This paper proposes an in-memory binary spiking neural network (BSNN) based on spin-transfer-torque magnetoresistive RAM (STT-MRAM). We propose residual BSNN learning using a surrogate gradient that shortens the time steps in the BSNN while maintaining sufficient accuracy. At the circuit level, presynaptic spikes are fed to memory units through differential bit lines (BLs), while binarized weights are stored in a subarray of nonvolatile STT-MRAM. When the common inputs are fed through BLs, vector-to-matrix multiplication can be performed in a single memory sensing phase, hence achieving massive parallelism with low power and low latency. We further introduce the concept of a dynamic threshold to reduce the implementation complexity of synapses and neuron circuitry. This adjustable threshold also permits a nonlinear batch normalization (BN) function to be incorporated into the integrate-and-fire (IF) neuron circuit. The circuitry greatly improves the overall performance and enables high regularity in circuit layouts. Our proposed netlist circuits are built on a 65-nm CMOS with a fitted magnetic tunnel junction (MTJ) model for performance evaluation. The hardware/software co-simulation results indicate that the proposed design can deliver a performance of 176.6 TOPS/W for an in-memory computing (IMC) subarray size of $1\times 288$ . The classification accuracy reaches 97.92% (83.85%) on the MNIST (CIFAR-10) dataset. The impacts of the device non-idealities and process variations are also thoroughly covered in the analysis.
- Published
- 2021
47. Synthesis of Hidden State Transitions for Sequential Logic Locking
- Author
-
Ioannis Savidis and Kyle Juretus
- Subjects
Sequential logic ,Finite-state machine ,Computer science ,02 engineering and technology ,Integrated circuit ,Parallel computing ,Computer Graphics and Computer-Aided Design ,Oracle ,020202 computer hardware & architecture ,law.invention ,law ,Logic gate ,0202 electrical engineering, electronic engineering, information engineering ,Netlist ,Overhead (computing) ,State (computer science) ,Electrical and Electronic Engineering ,Software ,AND gate ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
Oracle guided attacks, such as the satisfiability attack, are a significant concern when obfuscating an integrated circuit (IC). Partitioned finite state machine (FSM) based sequential logic locking techniques are much more resilient to oracle guided attacks due to the differences in the state space between the oracle and the IC under attack. However, susceptibility to structural attacks and the extraction of the transition state between the obfuscated and functional modes of an FSM threaten the efficacy of sequential logic locking. Therefore, a methodology to synthesize hidden state transitions (HSTs) into an FSM within an IC is developed. HSTs and logic cone modifications are utilized to further enhance the security of sequentially locked circuits by increasing the number of paths an adversary must search and reducing the susceptibility to structural attacks. An algorithm to insert hidden transitions and logic cone modifications into a netlist is developed that results in an average overhead of 6.79% in area, 7.78% in power, and 8.28% in performance across all of the ISCAS’89 sequential benchmark circuits. To modify the logic cone with two altered minterms, the average increase in area and power, beyond what is needed for the implementation of HSTs, is 26.46% and 30.30%, respectively, with no additional overhead in performance.
- Published
- 2021
48. TimingCamouflage+: Netlist Security Enhancement With Unconventional Timing
- Author
-
Grace Li Zhang, Georg Sigl, Bing Li, Michaela Brunner, David Z. Pan, Meng Li, Bei Yu, and Ulf Schlichtmann
- Subjects
Combinational logic ,Computer engineering ,Computer science ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Benchmark (computing) ,Netlist ,Construct (python library) ,Electrical and Electronic Engineering ,Computer Graphics and Computer-Aided Design ,Software ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
With recent advances in reverse engineering, attackers can reconstruct a netlist to counterfeit chips by opening the die and scanning all layers of authentic chips. This relatively easy counterfeiting is made possible by the use of the standard simple clocking scheme, where all combinational blocks function within one clock period, so that a netlist of combinational logic gates and flip-flops is sufficient to duplicate a design. In this article, we propose to invalidate the assumption that a netlist completely represents the function of a circuit with unconventional timing. With the introduced wave-pipelining (WP) paths, attackers have to capture gate and interconnect delays during reverse engineering, or to test a huge number of combinational paths to identify the WP paths. To hinder the test-based attack, we construct false paths with WP to increase the counterfeiting challenge. The experimental results confirm that WP true paths and false paths can be constructed in benchmark circuits successfully with only a negligible cost, thus thwarting the potential attack techniques.
- Published
- 2020
49. A Methodology for Identification of Internal Nets for Improving Fault Coverage in Analog and Mixed Signal Circuits
- Author
-
Mayukh Bhattacharya, Pallab Dasgupta, Sayandeep Sanyal, and Amit Patra
- Subjects
Computer science ,020208 electrical & electronic engineering ,Mixed-signal integrated circuit ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Fault (power engineering) ,Fault detection and isolation ,020202 computer hardware & architecture ,Analog signal ,Computer engineering ,Fault coverage ,0202 electrical engineering, electronic engineering, information engineering ,Netlist ,Electrical and Electronic Engineering ,Communication channel ,Electronic circuit - Abstract
Traditional literature on analog testing deals with the propagation of faults to the output ports of a circuit. Often the percentage of detected faults remains low because suitable stimuli cannot be found for propagating certain faults to the outputs. Existing technology supports monitoring internal nets of a circuit, thereby improving fault detection by observing their effect on internal nets. However, this approach is feasible only if the number of internal nets probed by the built-in test structure is limited. This paper presents a structured approach that identifies a small well-chosen subset of internal nets which, when probed, can increase the coverage of analog faults. Further, it describes a formal methodology to identify distinct sub-circuits in a given design, that could be independently probed for detection of faults. Thus, for a given fault universe, the complexity of simulations can be reduced significantly by simulating only the sub-circuits rather than the entire design. We utilize the speed of DC analysis, some common features of analog signals, and partitioning of the transistor netlist using a Channel Connected Graph to accomplish this outcome. We report significant improvement in fault coverage on several circuits including some Analog/Mixed-Signal benchmarks.
- Published
- 2020
50. FPGA Accelerator for Real-Time Emulation of Power Electronic Systems Using Multiport Decomposition
- Author
-
Mandar J. Datar, Mukul C. Chandorkar, Mini K. Namboothiripad, and Sachin B. Patkar
- Subjects
Electronic speed control ,Computer science ,020209 energy ,02 engineering and technology ,Industrial and Manufacturing Engineering ,law.invention ,Computer Science::Hardware Architecture ,law ,Power electronics ,VHDL ,0202 electrical engineering, electronic engineering, information engineering ,Electrical and Electronic Engineering ,Field-programmable gate array ,computer.programming_language ,Emulation ,business.industry ,020208 electrical & electronic engineering ,Converters ,Variable-frequency drive ,Control and Systems Engineering ,Netlist ,Inverter ,Resistor ,business ,computer ,Induction motor ,Computer hardware - Abstract
Development of accurate Real-Time systems with power electronic converters is a challenging task due to its high switching frequency and the time varying circuit topology. This paper explains the strategies to implement power electronic circuit models in real time, by exploiting the parallel and distributed computing nature of FPGA, with three-phase-three-level diode-clamped inverter as a case study. A generic multiport decomposition technique is applied to improve parallelism and to reduce the size of the matrix for the computation. Also, by developing a PySpice based utility, which pre-computes all the system matrices from the given netlist, implementation is made more adaptable to different partitions and circuit variations. Target circuit is implemented in C/C++ language, converted to VHDL and implemented on ZedBoard (XC7Z020) using Xilinx Vivado tools. With this generalized approach, a latency of less than $5\mu\mathrm{s}$ is achieved with reasonable utilization of resources, on FPGA. Real-Time performance of the implemented inverter model with Sine-Pulse-Width-Modulation technique is verified by connecting in loop with the induction motor model for its speed control. A latency of $6.65\mu\mathrm{s}$ is achieved within each simulation time step for the closed loop control of induction motor which is sufficient for the wide range of variable frequency drive applications.
- Published
- 2020
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.