391 results
Search Results
2. SRAM-Based In-Memory Computing Macro Featuring Voltage-Mode Accumulator and Row-by-Row ADC for Processing Neural Networks.
- Author
-
Mu, Junjie, Kim, Hyunjoon, and Kim, Bongjin
- Subjects
SUCCESSIVE approximation analog-to-digital converters ,ANALOG-to-digital converters ,STATIC random access memory ,RANDOM access memory ,DATA transmission systems - Abstract
This paper presents a mixed-signal SRAM-based in-memory computing (IMC) macro for processing binarized neural networks. The IMC macro consists of $128\times 128$ (16K) SRAM-based bitcells. Each bitcell consists of a standard 6T SRAM bitcell, an XNOR-based binary multiplier, and a pseudo-differential voltage-mode driver (i.e., an accumulator unit). Multiply-and-accumulate (MAC) operations between 64 pairs of inputs and weights (stored in the first 64 SRAM bitcells) are performed in 128 rows of the macro, all in parallel. A weight-stationary architecture, which minimizes off-chip memory accesses, effectively reduces energy-hungry data communications. A row-by-row analog-to-digital converter (ADC) based on 32 replica bitcells and a sense amplifier reduces the ADC area overhead and compensates for nonlinearity and variation. The ADC converts the MAC result from each row to an N-bit digital output taking 2N-1 cycles per conversion by sweeping the reference level of 32 replica bitcells. The remaining 32 replica bitcells in the row are utilized for offset calibration. In addition, this paper presents a pseudo-differential voltage-mode accumulator to address issues in the current-mode or single-ended voltage-mode accumulator. A test chip including a 16Kbit SRAM IMC bitcell array is fabricated using a 65nm CMOS technology. The measured energy- and area-efficiency is 741-87TOPS/W with 1-5bit ADC at 0.5V supply and 3.97TOPS/mm2, respectively. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
3. A Cycle by Cycle FSK Demodulator With High Sensitivity of 1% Frequency Modulation Index for Implantable Medical Devices.
- Author
-
Razavi Haeri, Ali Asghar and Safarian, Aminghasem
- Subjects
ARTIFICIAL implants ,MEDICAL equipment ,WIRELESS power transmission ,RADIO detectors ,DATA transmission systems ,FREQUENCY shift keying ,COMPLEMENTARY metal oxide semiconductors ,WIRELESS sensor networks - Abstract
This paper presents a cycle by cycle Frequency Shift Keying (FSK) demodulator, able to demodulate a FSK signal with 1% frequency modulation index (MI), in a single cycle. Based on the proposed demodulation scheme, a high rate data transmission link can be established through a high-Q inductive coupling link, breaking the basic tradeoff between the power transfer efficiency (PTE) and data rate in single carrier wireless power and data transfer systems. Designed and simulated with $0.18\mu m$ CMOS process, the proposed FSK demodulator, detects successfully a 5Mbps data with a carrier frequency of 5MHz. A test chip is fabricated in 180nm CMOS technology. Measurement results shows that the demodulator is able to demodulate the cycle-by-cycle modulated FSK signals with low modulation index of 2.5%, with a BER of $1.24\times 10^{-5}$. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
4. Secure Estimation Against Malicious Attacks for Lithium-Ion Batteries Under Cloud Environments.
- Author
-
Wang, Licheng, Tian, Engang, Wang, Changsong, and Liu, Shuai
- Subjects
LITHIUM-ion batteries ,BATTERY management systems ,KALMAN filtering ,TRAFFIC safety ,DATA transmission systems - Abstract
This paper is concerned with the secure estimation problem for the state of charge of Lithium-ion batteries subject to malicious attacks during the data transmission from sensors to cloud-based battery management system terminal. First, the second-order resistance-capacitance equivalent circuit model, whose parameters are identified by Kalman filter in an off-line manner, is introduced to describe the internal dynamics of lithium-ion batteries. Then, by applying the $\chi ^{2}$ detection mechanism, real-time malicious attacks are first detected and then a secure estimator is designed to suppress the influence of attacks on the estimation performance. An upper bound of the filtering error covariance is determined by solving certain coupled Riccati-like equations, and the filter parameter is obtained by minimizing such an upper bound at each time step. Finally, the validity of the proposed attack detection approach and the effectiveness of the developed estimation scheme are verified by experiment results under Federal Urban Driving Schedule condition. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
5. GI-POF IN METAVERSE SMART MEDICAL APPLICATIONS VIA ALL-OPTICAL NETWORKS.
- Author
-
Snow ZHU, Xiaogang LI, Liangji LAI, Qiurong CHEN, Xiaojun XIE, and Dexi WENG
- Subjects
SHARED virtual environments ,OPTICAL glass ,OPTICAL fibers ,GLASS fibers ,DATA transmission systems ,5G networks - Abstract
Demand for high speed data communications (> 1Gbps) and low latency (milliseconds scale or lower) has been on the rise [1,2,3,4,5]. The chief driving forces behind the demand for high speeds & low latency are applications of 8K video, 5G/6G, smart technologies and COVID19 pandemic-induced demands. For the emerging smart medical applications, especially the ones that are in metaverse, super high data speed with low latency is a must. All-optical network (AON) is one way to meet the demand. Perfluorinated graded-index polymer optical fiber (Gi-POF) is among the best media choices offering high data speeds. Gi-POF, complementing glass optical fiber (GOF) with its better bendability, can form all optical networks with optical/optical (O/O) connections. Due to reduced usage of E/O conversions, the latency of all optical networks will potentially be reduced, too. In this paper we will examine the functions of GI-POF O/O GOF. [ABSTRACT FROM AUTHOR]
- Published
- 2023
6. VI Systems post deadline paper presents VCSEL transmission at 107.5 Gbit/s.
- Subjects
DATA transmission systems ,OPTICAL fiber communication ,CONFERENCES & conventions - Abstract
The article reports that the European academic research partners VI Systems demonstrated VCSEL transmission at 107.5 Gbit/s over hundred meters of OM4 multimode fiber as post deadline paper at the Optical Fiber Communication Conference and Exposition (OFC) 2016 conference.
- Published
- 2016
7. Low-Variance Memristor-Based Multi-Level Ternary Combinational Logic.
- Author
-
Wang, Xiao-Yuan, Dong, Chuan-Tao, Zhou, Peng-Fei, Nandi, Sanjoy Kumar, Nath, Shimul Kanti, Elliman, Robert G., Iu, Herbert Ho-Ching, Kang, Sung-Mo, and Eshraghian, Jason K.
- Subjects
LOGIC circuits ,LOGIC ,DATA transmission systems ,MANY-valued logic - Abstract
This paper presents a series of multi-stage hybrid memristor-CMOS ternary combinational logic stages that are optimized for reducing silicon area occupation. Prior demonstrations of memristive logic are typically constrained to single-stage logic due to the variety of challenges that affect device performance. Noise accumulation across subsequent stages can be amortized by integrating ternary logic gates, thus enabling higher density data transmission, where more complex computation can take place within a smaller number of stages when compared to single-bit computation. We present the design of a ternary half adder, a ternary full adder, a ternary multiplier, and a ternary magnitude comparator. These designs are simulated in SPICE using the broadly accessible Knowm memristor model, and we perform experimental validation of individual stages using an in-house fabricated Si-doped HfOx memristor which exhibits low cycle-to-cycle variation, and thus contributes to robust long-term performance. We ultimately show an improvement in data density in each logic block of between $5.2\times - 17.3\times $ , which also accounts for intermediate voltage buffering to alleviate the memristive loading problem. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
8. A Hybrid-Mode On-Chip Router for the Large-Scale FPGA-Based Neuromorphic Platform.
- Author
-
Ding, Chen, Huan, Yuxiang, Jia, Hao, Yan, Yulong, Yang, Fanxi, Liu, Lizheng, Shen, Meigen, Zou, Zhuo, and Zheng, Lirong
- Subjects
MULTICASTING (Computer networks) ,DATA transmission systems ,NEUROMORPHICS ,ROUTING algorithms - Abstract
Large-scale neuromorphic computing requires the multi-chip network to provide high computing power. Efficient routing schemes and on-chip router design are necessary for handling various inter-chip transmission patterns. In this paper, we propose a hybrid-mode on-chip router that supports both multicast and unicast routing for the large-scale neuromorphic simulation. Two routing schemes, namely Cache-like Spike Weight Indexing and General Unicast Flow Control, are proposed to accommodate the chip-to-chip transmission of spike and non-spike data. This work is evaluated on a neuromorphic platform built with an $8\times 8$ FPGA chips array. Running a simulation of 1M neurons at 200MHz, the proposed router achieves a processing latency of 25ns and a chip-to-chip latency of 287ns. Working in the unicast mode, the router can synchronize status flags of all chips within $5 ~\mu \text{s}$. Moreover, it reduces the peak spike traffic by 25.65% with the help of Load-aware Multicast Routing, compared with other multicast routing strategies. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
9. Use of Mobile Telecommunication Data in Transport Modelling: A French Case Study.
- Author
-
Essadeq, Imane and Janik, Thibault
- Subjects
TELECOMMUNICATION ,COMMUNICATIONS industries ,DATA transmission systems ,GLOBAL Positioning System ,WIRELESS Internet - Abstract
The article focuses on how mobile telecommunication data can reveal trip numbers and destinations, but also transport modes. It mentions processing of mobile telecommunication data from a French mobile telecommunication company and calibrate a method to mine the dataset and identify trips, separate trips from other activities, and infer transport mode. It also mentions technologies for the geolocation of mobile devices such as Global Positioning System (GPS) or local Wi-Fi access points.
- Published
- 2021
10. Asynchronous Event-Triggered Sliding Mode Control for Semi-Markov Jump Systems Within a Finite-Time Interval.
- Author
-
Wang, Jing, Ru, Tingting, Xia, Jianwei, Shen, Hao, and Sreeram, Victor
- Subjects
DATA transmission systems ,SLIDING mode control ,TUNNEL diodes ,CLOSED loop systems ,LYAPUNOV functions ,ACTUATORS - Abstract
In this paper, the finite-time sliding mode control issue is studied for a series of semi-Markov jump systems subject to actuator faults, where an asynchronous control method is adopted to overcome the non-synchronous phenomenon between the system mode and controller mode. Additionally, the event-triggered protocol, which determines whether the transmission of data should be performed according to the threshold condition, is introduced to alleviate the burden of data transmission in the communication channel. This paper aims to devise an asynchronous event-triggered sliding mode control law so as to guarantee the trajectories of the resulting closed-loop system can be forced onto the predefined sliding surface in a finite-time interval. Thence, by means of the mode-dependent Lyapunov functions and the finite-time theory, sufficient conditions are derived to assure that the closed-loop system is mean-square finite-time bounded in both reaching and sliding motion phases. Eventually, a numerical example and a tunnel diode circuit model are presented to illustrate the availability and practicability of the proposed approach. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
11. Dynamic Deadband Event-Triggered Strategy for Distributed Adaptive Consensus Control With Applications to Circuit Systems.
- Author
-
Xu, Yong, Sun, Jian, Pan, Ya-Jun, and Wu, Zheng-Guang
- Subjects
MULTIAGENT systems ,SELF-tuning controllers ,DATA transmission systems ,DATA reduction ,ADAPTIVE control systems ,ALGORITHMS - Abstract
This paper focuses on the distributed consensus seeking of multi-agent systems (MASs) with discrete-time control updating and intermittent communications among agents. Compared with existing linearly coupled protocols, a nonlinear coupled Zeno-free event-triggered controller is first proposed, which is further to project the static and dynamic triggering mechanisms exploited by using the deadband control method. Then, the node-based nonlinear coupled adaptive event-triggered controller with online self-tuning of time-varying coupling weight and its corresponding to static and dynamic deadband-based event-triggered mechanisms are designed, respectively. The exploited adaptive event-triggered controller does not rely on any global information of interaction structure and is implemented in a fully distributed fashion. In addition, two dynamic proposals not only cover existing static strategies as special cases, but also show that the minimal inter-execution time of dynamic one is not smaller than that of static one. Theoretical analysis shows that the proposed static and dynamic deadband-based event-triggered mechanisms can not only ensure the average consensus with Zeno-freeness, but also achieve the data reduction of communication and control. Finally, the proposed algorithms applied to circuit implementation are corroborated to prove its practical merits and validity. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
12. New Splitting Criteria for Decision Trees in Stationary Data Streams.
- Author
-
Jaworski, Maciej, Duda, Piotr, and Rutkowski, Leszek
- Subjects
DECISION trees ,DATA transmission systems ,ARTIFICIAL intelligence - Abstract
The most popular tools for stream data mining are based on decision trees. In previous 15 years, all designed methods, headed by the very fast decision tree algorithm, relayed on Hoeffding’s inequality and hundreds of researchers followed this scheme. Recently, we have demonstrated that although the Hoeffding decision trees are an effective tool for dealing with stream data, they are a purely heuristic procedure; for example, classical decision trees such as ID3 or CART cannot be adopted to data stream mining using Hoeffding’s inequality. Therefore, there is an urgent need to develop new algorithms, which are both mathematically justified and characterized by good performance. In this paper, we address this problem by developing a family of new splitting criteria for classification in stationary data streams and investigating their probabilistic properties. The new criteria, derived using appropriate statistical tools, are based on the misclassification error and the Gini index impurity measures. The general division of splitting criteria into two types is proposed. Attributes chosen based on type- $I$ splitting criteria guarantee, with high probability, the highest expected value of split measure. Type- $II$ criteria ensure that the chosen attribute is the same, with high probability, as it would be chosen based on the whole infinite data stream. Moreover, in this paper, two hybrid splitting criteria are proposed, which are the combinations of single criteria based on the misclassification error and Gini index. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
13. A Fully Integrated Galvanically Isolated DC-DC Converter With Data Communication.
- Author
-
Ragonese, Egidio, Spina, Nunzio, Castorina, Alessandro, Lombardo, Pierpaolo, Greco, Nunzio, Parisi, Alessandro, and Palmisano, Giuseppe
- Subjects
DC-to-DC converters ,DATA transmission systems ,GALVANIC isolation - Abstract
This paper presents a fully integrated galvanically isolated dc–dc converter with data communication. The converter, which is fabricated in a 0.35- \mu \textm BCD technology, is made up of only two silicon dice and adopts two 6-kV on-chip transformers. It takes advantage of a customized architecture, which uses the isolated power control link to transfer bidirectional half-duplex data, thus reducing the overall silicon area and package size. The proposed dc–dc converter delivers up to 93-mW output power with 19% maximum power efficiency and an output voltage ranging from 2.4 V to 3.3 V, while achieving a data rate up to 50 Mb/s. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
14. Investigators from National University of Ireland Galway Release New Data on Cloud Computing [Improving Latency In Internet-of-things and Cloud Computing for Real-time Data Transmission: a Systematic Literature Review (Slr)].
- Subjects
REAL-time computing ,CLOUD computing ,DATA transmission systems ,INFORMATION technology ,DATA release - Abstract
Keywords: Galway; Ireland; Europe; Cloud Computing; Data Transmission; Electronics; Information Technology EN Galway Ireland Europe Cloud Computing Data Transmission Electronics Information Technology 489 489 1 10/24/23 20231026 NES 231026 2023 OCT 29 (NewsRx) -- By a News Reporter-Staff News Editor at Medical Devices & Surgical Technology Week -- Fresh data on Information Technology - Cloud Computing are presented in a new report. Keywords for this news article include: Galway, Ireland, Europe, Cloud Computing, Data Transmission, Electronics, Information Technology, National University of Ireland Galway. Galway, Ireland, Europe, Cloud Computing, Data Transmission, Electronics, Information Technology. [Extracted from the article]
- Published
- 2023
15. Application of GI-POF, SI-POF & GOF in autopiloting.
- Author
-
Xiaogang LI, Snow ZHU, Liangji LAI, Xiaojun XIE, Qiurong CHEN, Zining Chen, Daming Zhang, Wei CHEN, and Dexi WENG
- Subjects
PLASTIC optical fibers ,OPTICAL fibers ,OPTICAL glass ,DATA transmission systems ,INTELLIGENT transportation systems ,GLASS fibers ,OPTICAL control - Abstract
Demand for super high speed data communications (> 1Gbps) and low latency (milliseconds scale or lower) has been on the rise. The chief driving forces behind the demand for super high speeds & low latency are applications of 8K video, 5G/6G, smart technologies and COVID19 pandemic-induced demands. In high-level autopiloting for automotives, high-speed rail trains, drones and other similar settings, it is essential to have lightweight, robust, no-interference and especially vital to have fast-acting, low-latency and high bandwidth in data communications and controls capabilities. In this paper, functions of perfluorinated graded-index polymer optical fiber (Gi-POF) as in-vehicle LAN communication and sensor-GPU linkages, step-index plastic optical fiber (SI-POF) as controls and glass optical fiber (GOF) as communication backbones are examined and compared. [ABSTRACT FROM AUTHOR]
- Published
- 2023
16. Bridging the Gap Between Transmission Noise and Sampled Data for Robust Consensus of Multi-Agent Systems.
- Author
-
Liu, Kexin, Zhu, Henghui, and Lu, Jinhu
- Subjects
TRANSMISSION electron microscopy ,ROBUST control ,NOISE pollution ,CONSONANCE (Music theory) ,DATA transmission systems - Abstract
It is well known that multi-agent systems (MASs) are ubiquitous in natural and artificial systems. This paper aims at bridging the gap between transmission noise and sampled data for robust consensus of MASs. In detail, we have developed a theoretical framework for analyzing the robust consensus of MASs with sampled-data controllers and transmission noises. Using the delay-input and discretization approaches, we obtain two sufficient conditions on the existence of sampling periods and controller parameters for robust consensus of MASs, respectively. In particular, we deduce the estimates of the convergence speeds of consensus errors for the above two methods. Finally, numerical simulations are also given to validate our theoretical results. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
17. A Recursive Approach to Quantized ${H_{\infty}}$ State Estimation for Genetic Regulatory Networks Under Stochastic Communication Protocols.
- Author
-
Wan, Xiongbo, Wang, Zidong, Han, Qing-Long, and Wu, Min
- Subjects
DIFFERENCE equations ,TELECOMMUNICATION systems ,RICCATI equation ,DATA transmission systems ,NETWORK effect - Abstract
This paper deals with the finite-horizon quantized $H_{\infty }$ state estimation problem for a class of discrete time-varying genetic regulatory networks with quantization effects under stochastic communication protocols (SCPs). To better reflect the data-driven flavor of today’s biological research, the network measurements (typically gigabytes in size by high-throughput sequencing technologies) are transmitted to a remote state estimator via two independent communication networks of limited bandwidths. To lighten the communication loads and avoid undesired data collisions, the measurement outputs are quantized and then transmitted under two SCPs introduced to schedule the large-scale data transmissions. The purpose of this paper is to design a time-varying state estimator such that the error dynamics of the state estimation satisfies a prescribed $H_{\infty }$ performance requirement over a finite horizon in the presence of nonlinearities, quantization effects, and SCPs. By utilizing the completing-the-square technique, sufficient conditions are derived to ensure the $H_{\infty }$ estimation performance and the parameters of the state estimator are designed by solving coupled backward recursive Riccati difference equations. A numerical example is given to illustrate the effectiveness of the design scheme of the proposed state estimator. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
18. An All-Digital On-Chip Peak-to-Peak Jitter Measurement Circuit With Automatic Resolution Calibration for High PVT-Variation Resilience.
- Author
-
Chou, Pei-Yuan and Wang, Jinn-Shyan
- Subjects
CALIBRATION ,DIGITAL electronics ,DATA transmission systems ,DELAY lines ,MEASUREMENT ,COMPUTER architecture - Abstract
A new, all-digital on-chip peak-to-peak (p-p) jitter measurement circuit (OCJM) that features automatic resolution calibration for high PVT-variation resilience without a reference clock and off-line calibration is presented in this paper. The OCJM uses a front-end self-referenced circuit (SRC) to eliminate the jitter-free reference signal and a back-end p-p jitter detector (PPD) to perform p-p jitter measurements. The key design of the proposed OCJM is that the SRC and the PPD share a Vernier delay line (VDL) so that the run-time PVT information automatically extracted from the SRC operation can be carried onto the PPD to achieve automatic on-line resolution calibration. Besides this feature, the OCJM uses on-chip direct p-p jitter measurement with only 1-time readouts to eliminate the huge power consumption of the off-chip data communication while avoiding data loss of a possible large jitter caused by PVT variations during off-chip data communication. These techniques make the proposed OCJM suitable for any-time, any-site jitter measurements for SoC applications. The proposed OCJM is fabricated in a 28-nm CMOS. The measurement results show that the timing resolution and minimum measurable jitter range specifications are met under extreme PVT conditions while achieving 98% clock cycle and energy reduction compared to the conventional OCJM designs. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
19. IMAGING: In-Memory AlGorithms for Image processiNG.
- Author
-
Haj-Ali, Ameer, Ben-Hur, Rotem, Wald, Nimrod, Ronen, Ronny, and Kvatinsky, Shahar
- Subjects
COMPUTER algorithms ,IMAGE processing ,DATA transmission systems ,ENERGY consumption ,RANDOM access memory - Abstract
Data-intensive applications such as image processing suffer from massive data movement between memory and processing units. The severe limitations on system performance and energy efficiency imposed by this data movement are further exacerbated with any increase in the distance the data must travel. This data transfer and its associated obstacles could be eliminated by the use of emerging non-volatile resistive memory technologies (memristors) that make it possible to both store and process data within the same memory cells. In this paper, we propose four in-memory algorithms for efficient execution of fixed point multiplication using MAGIC gates. These algorithms achieve much better latency and throughput than a previous work and significantly reduce the area cost. They can thus be feasibly implemented inside the size-limited memory arrays. We use these fixed point multiplication algorithms to efficiently perform more complex in-memory operations such as image convolution and further show how to partition large images to multiple memory arrays so as to maximize the parallelism. All the proposed algorithms are evaluated and verified using a cycle-accurate and functional simulator. Our algorithms provide on average $200\times $ better performance over state-of-the-art APIM, a processing in-memory architecture for data intensive applications. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
20. Reverse Calculation-Based Low Memory Turbo Decoder for Power Constrained Applications.
- Author
-
Zhan, Ming, Pang, Zhibo, Yu, Kan, and Wen, Hong
- Subjects
FIELD programmable gate arrays ,TURBO codes ,PARTITIONS (Building) ,LONG-Term Evolution (Telecommunications) ,DATA transmission systems ,HARDWARE ,ATOMIC clocks - Abstract
Turbo codes are a family of near Shannon limit error correction coding schemes that usually are adopted for wireless data transmission. To reduce the power dissipation of a long-term evolution (LTE) advanced turbo decoder, in this paper, we propose a reverse calculation based low memory turbo decoder architecture by partitioning the trellis diagram and simplifying the max* operator. The designed forward state metrics calculation architecture is merged with two classical decoding schemes. Through field programmable gate array (FPGA) hardware implementation, the state metrics cache (SMC) capacity is reduced by 65%, the power dissipation of the reverse calculation architecture is significantly reduced for all tested clock frequencies, and the decoding performance is not affected as compared with classical decoding schemes. The proposed reverse calculation architecture is an effective technique to achieve better decoding performance for power-constrained applications. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
21. Fault estimation for cyber–physical systems with intermittent measurement transmissions via a hybrid observer approach.
- Author
-
Yan, Jing-Jing, Deng, Chao, Che, Wei-Wei, and Liu, Xiao-Xu
- Subjects
- *
CYBER physical systems , *DATA transmission systems , *INDUSTRIALISM , *EXPONENTIAL stability , *TELECOMMUNICATION systems , *MULTICASTING (Computer networks) - Abstract
This paper investigates the problem of fault estimation for cyber–physical systems (CPSs) with intermittent measurement transmissions. A novel hybrid observer-based fault estimation scheme is proposed to generate estimations of the system state and the fault. Specifically, the correction terms of the designed observer are updated while new output measurements are available. Moreover, the estimation performance of the designed observer is analyzed within a framework of hybrid systems, and sufficient conditions are established to guarantee exponential input-to-state stability with a prescribed convergence rate based on the elaborately constructed Lyapunov function candidate. In contrast to the existing estimation schemes, the requirements of continuous or periodical communication are eliminated, and therefore the proposed one is more applicable for the modern industrial systems integrated with data communication networks. Finally, the application to an aircraft model is presented to illustrate the effectiveness of the developed methods. • Compared with the existing fault estimation schemes depending upon continuous measurements, the proposed one is developed based on the sporadic measurements, and therefore more applicable for CPSs integrated with data communication networks. • Inspired by considerations from the efficient utilization of available resources, an intermediate variable and two output error correction terms updated while new measurements get available are incorporated with the designed observer. Specifically, by employing the elaborately constructed Lyapunov function candidate, it is proved that the estimation error system is exponentially input-to-state stable with a prescribed convergence rate. • The estimation performance of the designed observer is analyzed within a framework of hybrid systems, which reveals the intermittent innovation properties triggered by the arrived measurements and helps to construct computationally tractable observer synthesis conditions. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
22. [formula omitted] containment control for multi-unmanned aerial vehicle systems: A self-triggered control scheme.
- Author
-
Wang, Shiyi, Cao, Zhiru, Peng, Chen, and Zhu, Kaiqun
- Subjects
- *
DATA transmission systems , *CONVEX sets , *DRONE aircraft , *MULTIAGENT systems , *VERTICALLY rising aircraft - Abstract
This paper is concerned with the containment control problem for multi-unmanned aerial vehicle (multi-UAV) systems with data transmission among agents during a limited-bandwidth network. A good deal of data transmitted during a limited-bandwidth network may result in data collision, which brings negative effects on controller design and containment tracking implementation. To reduce the network load during data transmission, a consensus condition and a triggering subsidiary condition are first proposed to realize the containment tracking with H ∞ performance by using the triggered states. Then a self-triggered scheme is established under a multi-agent framework, in which the next triggering instant is calculated beforehand by using the past states. Combined with the self-triggered scheme, a containment controller is obtained via solving the derived consensus condition, which ensures that follower UAVs are driven into a convex set surrounded by leader UAVs. Finally, the validity of the self-triggered containment control method is proved through a simulation experience. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
23. Tampering attack detection for remote interval observer.
- Author
-
Chen, Tao, Liu, Zhitao, and Su, Hongye
- Subjects
- *
CYBER physical systems , *DETECTORS , *DATA transmission systems , *ANALOG-to-digital converters - Abstract
In cyber–physical systems (CPSs), secure estimation observes the states of a physical plant remotely with potentially attacked data. Most attacks in CPSs are carefully designed such that they can not only ruin the estimation but also bypass the detector. These attacked estimations would corrupt decision-making and control, leading to decreased production efficiency and even equipment damage. Therefore, detecting these attacks is of great importance. It is noted that one of the reasons that these attacks can be successfully launched is that attackers can inject arbitrary false data into the communication channel at any time step, which makes it possible that the transmission data is tampered with by any designed attack sequences. In this paper, a modified data transmission mechanism is deployed to limit the attacker's ability, and attack detectors are designed for detecting tampering attacks. Specifically, first of all, a one-bit event-triggered mechanism is deployed to binarize the transmitted data. Secondly, a primary detector is deployed to detect non-binary data received by the observer, and hence the attacker's ability is greatly reduced. Thirdly, a main detector is designed, which definitely detects tampering attacks that can bypass the primary detector.The necessary and sufficient conditions for definite attack detection are given, with which the gain of interval observable and the threshold of the event-trigger mechanism are designed. Finally, simulation results are presented to verify the analysis. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
24. A hybrid framework of dynamic periodic event-triggered networked control systems subject to time-varying delays.
- Author
-
Wu, Chunyu and Zhao, Xudong
- Subjects
- *
TIME-varying systems , *LINEAR matrix inequalities , *DATA transmission systems , *MULTICASTING (Computer networks) , *PSYCHOLOGICAL feedback , *HYBRID systems - Abstract
This paper investigates the dynamic output-feedback control for networked control systems (NCSs). The data transmissions in the sensor-to-controller and the controller-to-actuator channels are generated by the dynamic periodic event-triggering mechanism. Due to the existence of time-varying delays in the two channels, the updated data is received after a time delay. To cope with this problem, a hybrid dynamical framework of the NCSs is established. With the help of Lyapunov function-based approach, a new event-triggering condition is designed and sufficient conditions on input-to-state stability are derived. By introducing an auxiliary function, the obtained sufficient conditions are transformed into linear matrix inequalities and the explicit forms of the dynamic output-feedback controller gains are provided. Moreover, the upper bounds on the maximum allowable transfer intervals and the maximum allowable delays are derived. Finally, a numerical example illustrates the feasibility of the proposed method. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
25. Information extraction from digital social trace data with applications to social media and scholarly communication data.
- Author
-
Mishra, Shubhanshu
- Subjects
DATA mining ,DIGITAL communications ,DATA transmission systems ,SCHOLARLY communication ,SOCIAL media ,COMPUTER science - Abstract
Information extraction (IE) aims at extracting structured data from unstructured or semi-structured data. The thesis starts by identifying social media data and scholarly communication data as a special case of digital social trace data (DSTD). This identification allows us to utilize the graph structure of the data (e.g., user connected to a tweet, author connected to a paper, author connected to authors, etc.) for developing new information extraction tasks. The thesis focuses on information extraction from DSTD, first, using only the text data from tweets and scholarly paper abstracts, and then using the full graph structure of Twitter and scholarly communications datasets. This thesis makes three major contributions. First, new IE tasks based on DSTD representation of the data are introduced. For scholarly communication data, methods are developed to identify article and author level novelty [Mishra and Torvik, 2016] and expertise. Furthermore, interfaces for examining the extracted information are introduced. A social communication temporal graph (SCTG) is introduced for comparing different communication data like tweets tagged with sentiment, tweets about a search query, and Facebook group posts. For social media, new text classification categories are introduced, with the aim of identifying enthusiastic and supportive users, via their tweets. Additionally, the correlation between sentiment classes and Twitter meta-data in public corpora is analyzed, leading to the development of a better model for sentiment classification [Mishra and Diesner, 2018]. Second, methods are introduced for extracting information from social media and scholarly data. For scholarly data, a semi-automatic method is introduced for the construction of a large-scale taxonomy of computer science concepts. The method relies on the Wikipedia category tree. The constructed taxonomy is used for identifying key computer science phrases in scholarly papers, and tracking their evolution over time. Similarly, for social media data, machine learning models based on human-in-the-loop learning [Mishra et al., 2015], semi-supervised learning [Mishra and Diesner, 2016], and multi-task learning [Mishra, 2019] are introduced for identifying sentiment, named entities, part of speech tags, phrase chunks, and super-sense tags. The machine learning models are developed with a focus on leveraging all available data. The multi-task models presented here result in competitive performance against other methods, for most of the tasks, while reducing inference time computational costs. Finally, this thesis has resulted in the creation of multiple open source tools and public data sets (see URL below), which can be utilized by the research community. The thesis aims to act as a bridge between research questions and techniques used in DSTD from different domains. The methods and tools presented here can help advance work in the areas of social media and scholarly data analysis. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
26. Galvanically Isolated DC-DC Converter Using a Single Isolation Transformer for Multi-Channel Communication.
- Author
-
Parisi, Alessandro, Ragonese, Egidio, Spina, Nunzio, and Palmisano, Giuseppe
- Subjects
DC-to-DC converters ,DATA transmission systems ,MULTICHANNEL communication ,ON-chip transformers ,POWER transmission ,GALVANIC isolation - Abstract
This paper presents a galvanically isolated dc-dc converter with multi-channel communication exploiting a single isolation transformer. The proposed architecture makes compliant both regulated output power and data transmission on the same isolation physical link by using ASK modulation of the power signal and data/control signal multiplexing. The dc-dc converter consists of two integrated chips fabricated in 0.18- $\mu \text{m}$ BCD and 0.13- $\mu \text{m}$ CMOS technologies, respectively, along with a thick polyimide transformer chip able to comply with reinforced isolation requirements. The dc-dc converter delivers an isolated output power up to 50 mW at 3.3-V output voltage, while providing three data channels with a data rate up to 10 Mb/s. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
27. Generic Wireless Power Transfer and Data Communication System Based on a Novel Modulation Technique.
- Author
-
Trigui, Aref, Ali, Mohamed, Hached, Sami, David, Jean-Pierre, Ammari, Ahmed Chiheb, Savaria, Yvon, and Sawan, Mohamad
- Subjects
WIRELESS power transmission ,ELECTRONIC modulators ,DATA transmission systems ,DATABASES ,QUALITY factor ,DEMODULATION - Abstract
This paper presents a wireless power and downlink data transfer system for medical implants operating over a single $10~MHz$ inductive link. The system is based on a Carrier Width Modulation (CWM) scheme for high-speed communication and efficient power delivery using a novel modulator circuit design. Unlike conventional modulation techniques, the data rate of the proposed CWM is not limited by the quality factors of the primary and secondary coils. The functionality of the new modulation method is proven using a hybrid implementation comprising a custom-integrated demodulator circuit and board-level discrete components. The proposed Wireless Power and Data Transfer (WPDT) system is also capable of operating under a wide range of data rates. It allows a maximum data rate of $3.33~Mb/s$ for a maximum power delivery of $6.1~mW$ at $1~cm$ coils separation distance. The system can recover more power, reaching $55~mW$ at $100~kb/s$. Due to the system genericity, an operator can select the best compromise between power and data rates in accordance to application or current need, without reconfiguring the receiver. Another advantage of this modulation technique is the simple implementation and the ultra-low power consumption of the CWM demodulator despite its high-speed demodulation. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
28. Global $H_\infty $ Pinning Synchronization of Complex Networks With Sampled-Data Communications.
- Author
-
Xu, Zhaowen, Shi, Peng, Su, Hongye, Wu, Zheng-Guang, and Huang, Tingwen
- Subjects
COMPUTER networks ,DATA transmission systems ,SYNCHRONIZATION software ,PENDULUM clocks ,BIOLOGICAL networks - Abstract
This paper investigates the global $H_\infty $ pinning synchronization problem for a class of complex networks with aperiodic samplings. Combined with the Writinger-based integral inequality, a new less conservative criterion is presented to guarantee the global pinning synchronization of the complex network. Furthermore, a novel condition is proposed under which the complex network is globally pinning synchronized with a given $H_\infty $ performance index. It is shown that the $H_\infty $ performance index $\gamma $ has a positive correlation with the upper bound of the sampling intervals. Finally, the validity and the advantage of the theoretic results obtained are verified by means of the applications in Chua’s circuit and pendulum. [ABSTRACT FROM PUBLISHER]
- Published
- 2018
- Full Text
- View/download PDF
29. Multi-Sensor Kalman Filtering With Intermittent Measurements.
- Author
-
Yang, Chao, Yang, Wen, Shi, Hongbo, Zheng, Jiangying, Ren, Xiaoqiang, and Shi, Ling
- Subjects
KALMAN filtering ,STABILITY theory ,RICCATI equation ,DETECTORS ,MULTISENSOR data fusion ,DATA transmission systems - Abstract
In this paper, we extend the stability theory on Kalman filtering with intermittent measurements from the scenario of one single sensor to the one of multiple sensors. Consider that a group of sensors take measurement of the states of a process and then send the data to a remote estimator. The estimator receives the measurements intermittently, which may be caused by the fact that the channels have packet dropouts or that the sensors schedule the data transmission stochastically. Based on the received measurements, the estimator computes the estimates of the process states by multi-sensor Kalman filtering. Because of the intermittent measurements, the estimator may be unstable. This stability issue is mainly investigated in this paper. A notion of transmission capacity, which is related to the communication rates of sensors, is proposed. It is shown that the expected estimation error covariance diverges for all feasible communication rates collections of the sensors when the transmission capacity is below a certain value; meanwhile, when the transmission capacity is above another certain value, there exists a feasible communication rates collection such that the expected estimation error covariance is bounded. [ABSTRACT FROM PUBLISHER]
- Published
- 2018
- Full Text
- View/download PDF
30. 40-Gb/s 0.7-V 2:1 MUX and 1:2 DEMUX with Transformer-Coupled Technique for SerDes Interface.
- Author
-
Chen, Fan-Ta, Wu, Jen-Ming, and Chang, Mau-Chung Frank
- Subjects
MULTIPLEXING ,4G networks ,LONG-Term Evolution (Telecommunications) ,DATA transmission systems ,REAL-time computing ,COMPLEMENTARY metal oxide semiconductors ,GIGABIT Ethernet - Abstract
This paper explores the use of transformer-coupled (TC) technique for the 2:1 MUX and the 1:2 DEMUX to serialize-and-deserialize (SerDes) high-speed data sequence. The widely used current-mode logic (CML) designs of latch and multiplexer/demultiplexer (MUX/DEMUX) are replaced by the proposed TC approach to allow the more headroom and to lower the power consumption. Through the stacked transformer, the input clock pulls down the differential source voltage of the TC latch and the TC multiplexer core while alternating between the two-phase operations. With the enhanced drain-source voltage, the TC design attracts more drain current with less width-to-length ratio of NMOS than that of the CML counterpart. The source-offset voltage is decreased so that the supply voltage can be reduced. The lower supply voltage improves the power consumption and facilitates the integration with low voltage supply SerDes interface. The MUX and the DEMUX chips are fabricated in 65-nm standard CMOS process and operate at 0.7-V supply voltage. The chips are measured up to 40-Gb/s with sub-hundred milliwatts power consumption. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
31. Highly Reliable Coding Methods for Emerging Applications: Archive and Enterprise Solid-State Drives (SSDs).
- Author
-
Tanakamaru, Shuhei, Kitamura, Yuta, Yamazaki, Senju, Tokutomi, Tsukasa, and Takeuchi, Ken
- Subjects
DATA transmission systems ,SOLID-state phase transformations ,BIT error rate ,STATIC relays ,RELIABILITY (Personality trait) - Abstract
This paper proposes highly reliable coding methods for applications in two extreme conditions. n-out-of-8 level cell (nLC) is proposed for archival applications which require significantly long data-retention time with small write/erase cycle. On the other hand, for applications with large write/erase cycle and short data-retention time (enterprise application, etc.), universal asymmetric coding (UAC) is proposed. nLC reduces the number of memory states to improve the reliability with low cost overhead. In 7LC, the bit-error rate (BER) reduction will be 79% after 1k-year data retention while seven memory states are efficiently used out of eight states. By considering nLC with error-correcting codes (ECCs), the optimum number of cell levels (n) can be determined to minimize the bit-cost with given acceptable data-retention time. In UAC, the coding method is changed according to the write/erase cycle and data-retention time to keep the BER low. As a result, BER is reduced by 52% at maximum, compared with the original random pattern. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
32. Performance Enhancement of Learning Tracking Systems Over Fading Channels With Multiplicative and Additive Randomness.
- Author
-
Shen, Dong and Qu, Ganggui
- Subjects
ITERATIVE learning control ,INSTRUCTIONAL systems ,DATA transmission systems ,MACHINE learning ,TRACKING control systems - Abstract
This paper applies learning control to repetitive systems over fading channels at both output and input sides to improve tracking performance without applying restrictive fading conditions. Both multiplicative and additive randomness of the fading channel are addressed, and the effects of fading communication on the data are carefully analyzed. A decreasing gain sequence and a moving-average operator are introduced to modify the generic learning control algorithm to reduce the fading effect and improve control system performance. Results reveal that the tracking error converges to zero in the mean-square sense as the iteration number increases. Illustrative simulations are presented to verify the theoretical results. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
33. Protocol-Based Unscented Kalman Filtering in the Presence of Stochastic Uncertainties.
- Author
-
Liu, Shuai, Wang, Zidong, Chen, Yun, and Wei, Guoliang
- Subjects
KALMAN filtering ,STOCHASTIC systems ,NONLINEAR systems ,UNCERTAINTY ,DATA transmission systems ,MULTICASTING (Computer networks) - Abstract
In this paper, the unscented Kalman filtering (UKF) problem is investigated for a class of general nonlinear systems with stochastic uncertainties under communication protocols. A modified unscented transformation is put forward to account for stochastic uncertainties caused by modeling errors. For preventing data collisions and mitigating communication burden, the round-robin protocol and the weighted try-once-discard protocol are, respectively, introduced to regulate the data transmission order from sensors to the filter. Then, by employing two kinds of data-holding strategies (i.e., zero-order holder and zero input) for those nodes without transmission privilege, two novel protocol-based measurement models are formulated. Subsequently, by resorting to the sigma point approximation method, two resource-saving UKF algorithms are developed, where the impact from the underlying protocols on the filter design is explicitly quantified. Finally, compared with the protocol-based extended Kalman filtering algorithms, a simulation example is presented to demonstrate the effectiveness of the proposed protocol-based UKF algorithms. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
34. Attack-Resilient Event-Triggered Controller Design of DC Microgrids Under DoS Attacks.
- Author
-
Hu, Songlin, Yuan, Ping, Yue, Dong, Dou, Chunxia, Cheng, Zihao, and Zhang, Yunning
- Subjects
DENIAL of service attacks ,MICROGRIDS ,TELECOMMUNICATION lines ,DATA transmission systems ,ELECTRIC power distribution equipment ,NONLINEAR systems - Abstract
This paper is concerned with the attack-resilient event-triggered controller design problem of a DC microgrid with multiple nonlinear constant power loads and intermittent denial-of-service (DoS) attacks. First, for a resource efficiency purpose, an event-triggering communication scheme is delicately devised in such a way to only invoke the data transmission over the communication line when the DoS attack is inactive. Second, via characterizing the DoS active and inactive time intervals, a new switching piecewise system model for the nonlinear DC microgrid system is presented. Third, a numerically efficient design criterion on the existence of the desired attack-resilient event-triggered controller is established. It is further shown that various performance indices including resource efficiency, attack resilience, robustness against disturbance, sampling performance of the DC microgrid system can be evaluated in a unified framework. Finally, an illustrative example is given to verify the effectiveness of the proposed control design method for the DC microgrid. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
35. Frequency regulation scheme in power system using an observer-based waiting event-triggered control with random features.
- Author
-
Zhang, Zhenzhen, Liu, Yu, Zhou, Yuhong, Chen, Hao, Liu, Jindong, Liu, Xingwen, and Zhong, Shouming
- Subjects
- *
DATA packeting , *DATA transmission systems , *JENSEN'S inequality , *TELECOMMUNICATION systems , *FREQUENCY stability - Abstract
Addressed in this paper is the observer-based waiting event-triggered control issue in multi-area load frequency control (LFC) power system subject to random communication delay and data packet dropout. In order to maintain the grid frequency stability of the multi-area LFC power system in an open communication network, an observer-based waiting event-triggered scheme (WETS) is proposed to deal with frequency fluctuation and extend the life of control equipments. Compared with the existing event-triggered mechanism, the proposed WETS has the merits of continuous event-triggered scheme and the periodic sampling event-triggered scheme in the both of theory and application. Then, by well considering the negative factors of data packet dropout and random time delay in data transmission, a stochastic delay-dependent multi-area LFC model is established, which involves the information of observer-based WETS, random communication delay and packet dropout in a unified structure. Further, an improved Lyapunov–Krasovskii functional (LKF) is constructed by dividing the interval of transmitted delay. Based on the constructed Lyapunov functional, Park's theorem and Jensen's integral inequality, some less conservative stabilization criteria are obtained. Finally, the validity of the proposed control method is verified via numerical examples. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
36. State estimation for linear discrete-time systems with binary-valued quantized innovations against data tampering attacks.
- Author
-
Li, Mengqi, Hu, Yanpeng, and Guo, Jin
- Subjects
- *
DISCRETE-time systems , *LINEAR systems , *ALGEBRAIC equations , *COVARIANCE matrices , *DATA transmission systems , *MIMO radar - Abstract
In today's information era, cyber–physical systems (CPS) have been widely used in many industrial and infrastructure fields, and the study of security problems of CPS has become a crucial issue. For linear discrete-time systems, this paper investigates the state estimation problem based on the quantized innovations and transmission data tampering scenarios. From the attacker's view, by designing the tampering matrix and combining the property of the error function, the optimal attack strategy in the sense of maximizing the prediction error covariance matrix is given. From the defender's view, the optimal defense scheme based on multiplicative compensation is given in the case of a known attack strategy. Moreover, in the case of unknown attack strategies, a joint estimation algorithm is designed to give the optimal defense scheme subject to error constraints. In this paper, state estimators in recursive form are given for all above cases, and the algorithms are proved to be consistent. The theoretical results obtained are verified by simulations. • The recursive algorithms of state updating for system with quantized observations under data tampering attack. • Asymptotic performance of modified algebraic Riccati equation (MARE). • The optimal attack strategy in tampering transmission data to maximize hit impact. • A novel joint estimation approach to minimize attack impact when the attack strategy is known and unknown. • The optimal defense scheme to reach a balance between attack strategy estimations and the state estimation covariance matrix. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
37. Adaptive event-triggered output feedback control for uncertain networked T–S fuzzy system with data loss and bounded disturbance: An efficient MPC strategy.
- Author
-
Tang, Xiaoming, Zhao, Kun, Zhang, Lei, Lv, Xiao, and Qu, Hongchun
- Subjects
- *
FUZZY systems , *ADAPTIVE fuzzy control , *ADAPTIVE control systems , *INVARIANT sets , *UNCERTAIN systems , *NONLINEAR systems , *DATA transmission systems - Abstract
This paper proposes an adaptive event-triggered (AET) control, efficient model predictive control (EMPC) and output feedback control co-design approach for uncertain nonlinear systems over networks with bounded disturbance and data loss. The AET control involving a novel adaptive law is utilized to further reduce data transmission in networked control systems. Involving the technique of quadratic boundedness (QB), a class of output feedback predictive controllers based on the EMPC strategy are designed by minimizing several offline optimization problems and one online optimization problem. The approach in this paper, which transfers abundant online design work to offline, provides an offline determined augmented control invariant set for significantly reducing real-time computational load. Through the introduction of a novel matrix partition method moreover, the conventional online optimization problem of EMPC is transformed into a new form which is convenient to solve in this paper. A numerical example including some comparative experiments is proposed to clarify the availability of this approach. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
38. Optimization and Hardware Implementation of Image and Video Watermarking for Low-Cost Applications.
- Author
-
Pexaras, Konstantinos, Karybali, Irene G., and Kalligeros, Emmanouil
- Subjects
PROCESS optimization ,DIGITAL video ,DATA transmission systems ,DIGITAL images ,HARDWARE ,VIDEOS - Abstract
The prevalence of wireless networks has made the long-term need for communications security more imperative. In various wireless applications, images and/or video constitute critical data for transmission. For their copyright protection and authentication, watermarking can be used. In many cases, the cost of wireless nodes must be kept low, which means that their processing and/or power capabilities are very limited. In such cases, low-cost hardware implementations of digital image/video watermarking techniques are necessary. However, to end up with such implementations, proper selection of watermarking techniques is not enough. For this reason, in this paper, we introduce computation optimizations of the implemented algorithm to keep the integer part of arithmetic operations at optimal size, and, hence, arithmetic units as small as possible. In addition, further analysis is performed to reduce quantization error. Three different hardware-architecture variants, two for image watermarking and one for video (pipelined), are proposed, which reutilize the already small arithmetic units in different computation steps, to further reduce implementation cost. The proposed designs compare favorably to already existing implementations in terms of area, power, and performance. Moreover, the watermarked images’/frames’ errors, compared to their floating point counterparts, are very small, while robustness to various attacks is high. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
39. $H_{\infty}$ State Estimation for Discrete-Time Nonlinear Singularly Perturbed Complex Networks Under the Round-Robin Protocol.
- Author
-
Wan, Xiongbo, Wang, Zidong, Wu, Min, and Liu, Xiaohui
- Subjects
DISCRETE-time systems ,SINGULAR perturbations ,DATA transmission systems - Abstract
This paper investigates the $H_{\infty }$ state estimation problem for a class of discrete-time nonlinear singularly perturbed complex networks (SPCNs) under the Round-Robin (RR) protocol. A discrete-time nonlinear SPCN model is first devised on two time scales with their discrepancies reflected by a singular perturbation parameter (SPP). The network measurement outputs are transmitted via a communication network where the data transmissions are scheduled by the RR protocol with hope to avoid the undesired data collision. The error dynamics of the state estimation is governed by a switched system with a periodic switching parameter. A novel Lyapunov function is constructed that is dependent on both the transmission order and the SPP. By establishing a key lemma specifically tackling the SPP, sufficient conditions are obtained such that, for any SPP less than or equal to a predefined upper bound, the error dynamics of the state estimation is asymptotically stable and satisfies a prescribed $H_{\infty }$ performance requirement. Furthermore, the explicit parameterization of the desired state estimator is given by means of the solution to a set of matrix inequalities, and the upper bound of the SPP is then evaluated in the feasibility of these matrix inequalities. Moreover, the corresponding results for linear discrete-time SPCNs are derived as corollaries. A numerical example is given to illustrate the effectiveness of the proposed state estimator design scheme. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
40. Event-Triggered Stabilization of Linear Systems Under Bounded Bit Rates.
- Author
-
Tallapragada, Pavankumar and Cortes, Jorge
- Subjects
LINEAR systems ,BIT rate ,SIMULATION methods & models ,DATA transmission systems ,LINEAR differential equations - Abstract
This paper addresses the problem of exponential practical stabilization of linear time-invariant systems with disturbances using event-triggered control and bounded communication bit rate. We consider both the case of instantaneous communication with finite precision data at each transmission and the case of non-instantaneous communication with bounded communication rate. Given a prescribed rate of convergence, the proposed event-triggered control implementations opportunistically determine the transmission instants and the finite precision data to be transmitted on each transmission. We show that our design exponentially practically stabilizes the origin while guaranteeing a uniform positive lower bound on the inter-transmission and inter-reception times, ensuring that the number of bits transmitted on each transmission is upper bounded uniformly in time, and allowing for the possibility of transmitting fewer bits at any given time if more bits than prescribed were transmitted earlier. We also characterize the necessary and sufficient average data rate for exponential practical stabilization. Several simulations illustrate the results. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
41. A 9.6 Gb/s 0.96 mW/Gb/s Forwarded Clock Receiver With High Jitter Tolerance Using Mixing Cell Integrated Injection-Locked Oscillator.
- Author
-
Kim, Young-Ju, Chung, Sang-Hye, Ha, Kyung-Soo, Bae, Seung-Jun, and Kim, Lee-Sup
- Subjects
MICROWAVE oscillators ,BANDWIDTHS ,WHITE spaces (Telecommunication) ,DATA transmission systems ,SPECTRUM allocation - Abstract
This paper presents a forwarded-clock receiver using a mixing cell integrated injection-locked oscillator (MIILO) and an I/Q generator based on injection-locked oscillator (IQGILO). By using MIILO, jitter tolerance is enhanced by about 1.8 times at high frequency compared to using a conventional injection-locked oscillator. In addition, the proposed receiver is robust against power supply induced jitter (PSIJ) caused by a clock distribution network because jitter tracking bandwidth of IQGILO is always lower than peak frequency of PSIJ regardless of latency mismatch between data and clock. The test chip achieves 9.6 Gb/s data rate with 0.96 mW/Gb/s and occupies only 0.0162 mm^2 in a 1 V 65 nm CMOS. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
42. Dynamic event-triggered adaptive neural control for MIMO nonlinear systems via a single parameter learning method.
- Author
-
Zhu, Guibing and Liu, Yongchao
- Subjects
- *
MIMO systems , *NONLINEAR systems , *ADAPTIVE control systems , *DATA transmission systems , *SYSTEM dynamics - Abstract
The dynamic event-triggered control issue for multi-input and multi-output (MIMO) nonlinear systems with unknown dynamics and disturbances is solved in this paper. It is the first attempt to design a dynamic event-triggered mechanism for MIMO systems, which can further reduce data transmission from controller to actuator, thereby producing fewer event. The unknown dynamics of MIMO systems are approximated by neural networks. Then, the composited uncertain vector consisting of approximated terms and disturbances is transformed into a linear parameterized form with a single parameter. In addition, the dynamic event-triggered adaptive control method is designed under the backstepping framework. Finally, the validity of the presented dynamic event-triggered control solution is verified by simulation example and comparison analysis. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
43. Protocol-based zonotopic state and fault estimation for communication-constrained industrial cyber-physical systems.
- Author
-
Li, Qi, Zhi, Yufu, Tan, Hailong, and Sheng, Weiguo
- Subjects
- *
CYBER physical systems , *INDUSTRIALISM , *DATA transmission systems , *ALGORITHMS - Abstract
This paper is concerned with the communication protocol-based zonotopic state and fault estimation issue for a class of discrete time-varying industrial cyber-physical systems under bounded disturbances and constrained communication resources. First, in order to alleviate resource consumption, a round-robin protocol is developed to schedule the data transmission between the local sensor and the remote estimator. Second, a zonotopic set-membership estimation algorithm is developed to guarantee that the unavailable system state and fault signals could be simultaneously estimated and enclosed in a zonotope at every instant of time. Subsequently, the size of such a zonotope is minimized at each iteration by appropriately selecting a correlation matrix. Furthermore, the rigorous stability and performance analysis for the developed zonotopic estimation algorithm is provided. Finally, two simulation examples are provided to verify the obtained theoretical results. • Novel zonotopic set-membership estimation algorithm, where the zonotope guarantees to contain unknown system state and fault a rigorous stability analysis for the developed estimation scheme is provided by analyzing the boundedness of zonotope. • Finally two simulation examples are provided to show the effectiveness of the proposed estimation scheme. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
44. SI-POF TECHNOLOGY IN AUTOMOTIVE APPLICATIONS.
- Author
-
Tsukamoto, Y.
- Subjects
DATA transmission systems ,PLASTIC optical fibers ,MARKET potential ,INTELLIGENT transportation systems ,NUMERICAL apertures ,HISTORY of technology - Abstract
Over 40 years, Step Index Plastic Optical fiber (SI-POF) has been utilized for wide variety of application like lighting, sensing, and data communication. Thanks to its large core diameter (typically 1 mm) and large numerical aperture (typically 0.5), dimensional tolerance of SI-POF can be extremely large. Using the advantage of SI-POF, the data communication application usages for much type of transportation system and industrial data communication system are expanding world widely. This paper introduces about the history of SI-POF technology requested from market and a potential market near future. [ABSTRACT FROM AUTHOR]
- Published
- 2023
45. Asynchronous Consensus of Multiple Double-Integrator Agents With Arbitrary Sampling Intervals and Communication Delays.
- Author
-
Zhan, Jingyuan and Li, Xiang
- Subjects
DATA transmission systems ,TIME-varying channels ,MULTIAGENT systems ,ASYNCHRONOUS transfer mode ,TELECOMMUNICATION channels ,NONNEGATIVE matrices ,DIRECTED graphs - Abstract
This paper addresses asynchronous consensus problems of multiple double-integrator agents with discontinuous information transmission, where each agent receives its neighbors' state information at discrete instants determined by its own clock. A novel consensus protocol is proposed based on continuous information of each agent itself and sampled information of each agent's neighbors. By using nonnegative matrix theory and graph theory, we prove that the consensus problem is solvable in the asynchronous sampled-data setting without or with time-varying communication delays, if the union of the effective communication topology across any time interval with some given length contains a spanning tree. Remarkably, the sampling intervals and communication delays are allowed to be arbitrarily large yet bounded. By proposing a modified protocol based on the idea of pinning control, we extend the existing result to the desired consensus problem. Numerical examples are finally provided to validate the theoretical results. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
46. A Unified Solution for Super-Regenerative Systems With Application to Correlator-Based UWB Transceivers.
- Author
-
Fatemi, Seyed Mohammadreza, Sharifkhani, Mohammad, and Fotowat-Ahmady, Ali
- Subjects
ULTRA-wideband communication ,RADIO transmitter-receivers ,CORRELATORS ,DATA transmission systems ,ANALOG-to-digital converters ,COMPUTER architecture - Abstract
The conventional theory of super regenerative systems (SRS) has been divided into distinct modes of operation and limiting assumptions. These assumptions make the analysis of these systems inaccurate for wide bandwidth applications. In this paper, a novel theory based on the analysis of time varying systems using Magnus expansion is proposed which unifies all modes of operation and formulates the system response with high accuracy for a wide range of practical applications. Therefore, the theory can be used for the design of systems with wider bandwidth and higher data rates. Using the proposed theory, it is possible to analytically describe the effects of the parasitic elements and inter symbol interference as well as different parameters of the output signal such as instantaneous phase and amplitude. The proposed method is utilized for the design of a UWB pulse transmitter. Moreover, a new super regenerative structure is proposed which operates as a receiver. The accuracy of the proposed model is verified by various simulations and comparisons with the conventional model. Simulations show that the accuracy improved more than 10 times that models systems with quality factor as low as Q=1 with acceptable precision. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
47. Stabilization of Neural-Network-Based Control Systems via Event-Triggered Control With Nonperiodic Sampled Data.
- Author
-
Hu, Songlin, Yue, Dong, Xie, Xiangpeng, Ma, Yong, and Yin, Xiuxia
- Subjects
NEURAL computer network stability ,AUTOMATIC control equipment ,DISCRETE-time systems ,DATA transmission systems ,LYAPUNOV functions - Abstract
This paper focuses on a problem of event-triggered stabilization for a class of nonuniformly sampled neural-network-based control systems (NNBCSs). First, a new event-triggered data transmission mechanism is designed based on the nonperiodic sampled data. Different from the previous works, the proposed triggering scheme enables the NNBCSs design to enjoy the advantages of both nonuniform and event-triggered sampling schemes. Second, under the nonperiodic event-triggered data transmission scheme, the nonperiodic sampled-data three-layer fully connected feedforward neural-network (TLFCFFNN)-based event-triggered controller is constructed, and the resulting closed-loop TLFCFFNN-based event-triggered control system is modeled as a state delay system based on time-delay system modeling approach. Then, the stability criteria for the closed-loop system is formulated using Lyapunov–Krasovskii functional approach. Third, the sufficient conditions for the codesign of the TLFCFFNN-based controller and triggering parameters are given in terms of solvability of matrix inequalities to guarantee the asymptotical stability of the closed-loop system and an upper bound on the given cost function while reducing the updates of the controller. Finally, three numerical examples are provided to illustrate the effectiveness and benefits of the proposed results. [ABSTRACT FROM PUBLISHER]
- Published
- 2018
- Full Text
- View/download PDF
48. 400-MHz/2.4-GHz Combo WPAN Transceiver IC for Simultaneous Dual-Band Communication With One Single Antenna.
- Author
-
Weng, Zhaoyang, Jiang, Hanjun, Dong, Jingjing, Li, Yang, Zheng, Jingyi, Shen, Yiyu, Li, Fule, Rhee, Woogeun, and Wang, Zhihua
- Subjects
WIRELESS personal area networks ,RADIO transmitter-receivers ,MULTIFREQUENCY antennas ,DATA transmission systems ,CMOS integrated circuits - Abstract
A 400-MHz/2.4-GHz combo transceiver (TRX) integrated chip (IC) Supporting simultaneous dual-band communication is designed and fabricated in a 65-nm CMOS technology for compact wireless personal area network applications. The IC is composed of a 3–10 Mb/s quadrature phase shift keying (QPSK)/offset QPSK (OQPSK) TRX working at the 400–500 MHz band, and a 1–3 Mb/s Gaussian frequency shift keying (GFSK)/half sine OQPSK (HS-OQPSK) TRX at the 2.4–2.4835 GHz band for the Bluetooth low energy/IEEE 802.15.4 protocols. The presented combo TRX IC requires one single antenna without any bidirectional RF coupler, to support simultaneous dual-band communication. Two key circuit techniques, namely, the combination biasing technique to suppress the high-order harmonics and the active in-band interference cancellation, are adopted to tackle the crosstalk interference between the two bands during simultaneous dual-band operation. Measurement results show that the in-band interference presented to the 2.4-GHz receiver due to the 400-MHz transmitter is suppressed by 19 dB with the presented design techniques. [ABSTRACT FROM PUBLISHER]
- Published
- 2018
- Full Text
- View/download PDF
49. Edge computing and its role in Industrial Internet: Methodologies, applications, and future directions.
- Author
-
Zhang, Tong, Li, Yikai, and Philip Chen, C.L.
- Subjects
- *
EDGE computing , *REAL-time computing , *INTERNET , *WIRELESS sensor network security , *DATA transmission systems - Abstract
Proliferation of Industrial Internet has dramatically changed the way we live and work. It brings convenience to our society and sometimes requires real-time processing of dramatic data at the same time. However, traditional paradigm of computing on the center cloud can't always meet such requirement, for the non-negligible time delay of data transmission and communication. Edge computing is a novel computing paradigm proposed to resolve such a problem. As a promising technology, it extends computing from cloud center to the edge of network. Edge computing has the advantage of low latency to achieve a shorter response time, as well as potential to address the concerns of energy consuming, bandwidth burden and security issue. In this paper, we give a survey about edge computing from the aspect of methodologies, application scenarios and its role in Industrial Internet. Some open issues of edge computing are also introduced in this paper. At the end of the manuscript, a discussion about future direction is proposed. The shallow network algorithms such as broad learning system (BLS), which have achieved great improvement in computing efficiency, show an optimistic outlook in this area. We propose our conceive about future applications when shallow network methods like BLS are applied in edge computing and hope the paper will inspire research in relative directions. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
50. Ultimate Boundedness Control for Networked Systems With Try-Once-Discard Protocol and Uniform Quantization Effects.
- Author
-
Zou, Lei, Wang, Zidong, Han, Qing-Long, and Zhou, Donghua
- Subjects
AUTOMATIC control systems ,NONLINEAR systems ,WIRELESS sensor nodes ,WIRELESS communications ,DATA transmission systems ,CLOSED loop systems - Abstract
This paper is concerned with the ultimate boundedness control problem for a class of networked nonlinear systems subject to the try-once-discard (TOD) protocol scheduling and uniform quantization effects. To prevent the transmission data from collisions, the communication between sensor nodes and the controller is implemented via a constrained communication channel, where only one sensor node is permitted to transmit data at each time instant. The TOD protocol is utilized to regulate the signal transmission over the communication network under which the scheduling behavior is described by a special switching function. On the other hand, the uniform quantization effects of the network are characterized by a round function (i.e., the nearest integer function). The purpose of the addressed problem is to design an observer-based controller for the networked nonlinear systems such that, in the presence of TOD protocol and uniform quantization effects, the closed-loop system is ultimately bounded and the controlled output is locally minimized. Sufficient conditions are established to guarantee the ultimate boundedness of the dynamics of the closed-loop system in mean square by applying the stochastic analysis approach. Furthermore, the desired controller gains are derived by solving a convex optimization problem. Finally, a numerical example is given to illustrate the effectiveness of the proposed controller design scheme. [ABSTRACT FROM PUBLISHER]
- Published
- 2017
- Full Text
- View/download PDF
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.