124 results on '"Chang-Hong Shen"'
Search Results
2. Embedded Integration of Sb2Se3 Film by Low-Temperature Plasma-Assisted Chemical Vapor Reaction with Polycrystalline Si Transistor for High-Performance Flexible Visible-to-Near-Infrared Photodetector
- Author
-
Ying-Chun Shen, Cheng-Yu Lee, Hsing-Hsiang Wang, Ming-Hsuan Kao, Po-Cheng Hou, Yen-Yu Chen, Wen-Hsien Huang, Chang-Hong Shen, and Yu-Lun Chueh
- Subjects
General Engineering ,General Physics and Astronomy ,General Materials Science - Published
- 2023
3. High-Performance P-Type Germanium Tri-Gate FETs via Green Nanosecond Laser Crystallization and Counter Doping for Monolithic 3-D ICs
- Author
-
Hao-Tung Chung, Yu-Ming Pan, Nein-Chih Lin, Bo-Jheng Shih, Chih-Chao Yang, Chang-Hong Shen, Huang-Chung Cheng, and Kuan-Neng Chen
- Subjects
Electrical and Electronic Engineering ,Electronic, Optical and Magnetic Materials ,Biotechnology - Published
- 2023
4. Meta-Learned and TCAD-Assisted Sampling in Semiconductor Laser Annealing
- Author
-
Tejender Singh Rawat, Chung Yuan Chang, Yen-Wei Feng, ShihWei Chen, Chang-Hong Shen, Jia-Min Shieh, and Albert Shihchun Lin
- Subjects
General Chemical Engineering ,General Chemistry - Published
- 2022
5. Improving the High-Temperature Gate Bias Instabilities by a Low Thermal Budget Gate-First Process in p-GaN Gate HEMTs
- Author
-
Catherine Langpoklakpam, An-Chen Liu, Neng-Jie You, Ming-Hsuan Kao, Wen-Hsien Huang, Chang-Hong Shen, Jerry Tzou, Hao-Chung Kuo, and Jia-Min Shieh
- Subjects
Control and Systems Engineering ,HTGB ,Mechanical Engineering ,low thermal budget gate first process ,ohmic contact ,power device ,Electrical and Electronic Engineering ,gallium nitride - Abstract
In this study, we report a low ohmic contact resistance process on a 650 V E-mode p-GaN gate HEMT structure. An amorphous silicon (a-Si) assisted layer was inserted in between the ohmic contact and GaN. The fabricated device exhibits a lower contact resistance of about 0.6 Ω-mm after annealing at 550 °C. In addition, the threshold voltage shifting of the device was reduced from −0.85 V to −0.74 V after applying a high gate bias stress at 150 °C for 10−2 s. The measured time to failure (TTF) of the device shows that a low thermal budget process can improve the device’s reliability. A 100-fold improvement in HTGB TTF was clearly demonstrated. The study shows a viable method for CMOS-compatible GaN power device fabrication.
- Published
- 2023
- Full Text
- View/download PDF
6. Single-Crystal Islands (SCI) for Monolithic 3-D and Back-End-of-Line FinFET Circuits
- Author
-
Yu-Wei Liu, Han-Wen Hu, Chenming Hu, Ping-Yi Hsieh, Chih-Chao Yang, Shu-Jui Chang, Hao-Tung Chung, Jia-Min Shieh, Chang-Hong Shen, Po-Tsang Huang, Kuan-Neng Chen, and Jui-Han Liu
- Subjects
Materials science ,Silicon ,business.industry ,Silicon on insulator ,chemistry.chemical_element ,Electronic, Optical and Magnetic Materials ,Pulsed laser deposition ,Amorphous solid ,Back end of line ,chemistry.chemical_compound ,Silicon nitride ,chemistry ,Etching (microfabrication) ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
A single-crystal islands (SCI) technique using low thermal budget pulse laser process is proposed and demonstrated to fabricate single-crystal silicon islands over amorphous dielectric for monolithic 3-D and back-end-of-line (BEOL) FinFET circuits. By laser recrystallizing mask-defined a-Si islands encapsulated with conformal silicon nitride film, designed single-crystal Si islands can be obtained. The single crystallinity of the island are verified with SECCO Etch, high-resolution electron microscopy (HREM), transmission electron microscopy (TEM), and electron backside scattering (EBSD). About 40 nm FinFETs were successfully fabricated in the SCI Si islands and shown to exhibit excellent electrical performance and low variability that are compatible with the FinFETs fabricated on commercial silicon-on-insulator (SOI) wafer.
- Published
- 2021
7. CiM3D: Comparator-in-Memory Designs Using Monolithic 3-D Technology for Accelerating Data-Intensive Applications
- Author
-
Wen-Kuan Yeh, Je-Min Hung, Cheng-Xin Xue, Hariram Thirucherai Govindarajan, Akshay Krishna Ramanathan, Srivatsa Srinivasa Rangachar, Sheng-Po Huang, Chun-Ying Lee, Meng-Fan Chang, Chang-Hong Shen, Fu-Kuo Hsueh, Jia-Min Shieh, Vijaykrishnan Narayanan, John Sampson, and Mon-Shu Ho
- Subjects
Computer engineering. Computer hardware ,Comparator ,Computer science ,3-D-SRAM ,Sorting ,monolithic (sequential) 3-D integrated circuit (M3D-IC) ,Parallel computing ,Electronic, Optical and Magnetic Materials ,TK7885-7895 ,TheoryofComputation_MATHEMATICALLOGICANDFORMALLANGUAGES ,Hardware and Architecture ,ComputingMethodologies_SYMBOLICANDALGEBRAICMANIPULATION ,ComputingMethodologies_DOCUMENTANDTEXTPROCESSING ,sparse matrix multiplication ,Multiplication ,computing-in-memory ,Static random-access memory ,Electrical and Electronic Engineering ,Macro ,Massively parallel ,Energy (signal processing) ,Sparse matrix - Abstract
The compare operation is widely used in many applications, from fundamental sorting to primitive operations in the database and AI systems. We present SRAM-based 3-D-CAM circuit designs using a monolithic 3-D (M3D) integration process for realizing beyond-Boolean in-memory compare operation without any area overheads. We also fabricated a processing-in-memory (PiM) macro with the same 3-D-CAM circuit using M3D for performing massively parallel compare operations used in the database, machine learning, and scientific applications. We show various system designs with the 3-D-CAM supporting operations, such as data filtering, sorting, and sparse matrix–matrix multiplication (SpGEMM). Our systems exhibit up to $272\times $ , $200\times $ , and $226\times $ speedups and $151\times $ , $37\times $ , and $156\times $ energy savings compared to systems using near memory compute for the data filtering, sorting, and SpGEMM applications, respectively.
- Published
- 2021
8. The role of government policy in the building of a global semiconductor industry
- Author
-
Meng-Fan Chang, Wen Kuan Yeh, Ching Lin, Kuo Cheng Chang, Sung Wen Wang, Robert Chen-Hao Chang, and Chang Hong Shen
- Subjects
Semiconductor industry ,Focus (computing) ,Public policy ,Business ,Electrical and Electronic Engineering ,Public administration ,Instrumentation ,Electronic, Optical and Magnetic Materials - Abstract
With careful planning and a focus on developing expertise, government policy has helped Taiwan become a centre for semiconductor innovation.
- Published
- 2021
9. Impacts of Electrical Field in Tunneling Layer on Operation Characteristics of Poly-Ge Charge-Trapping Flash Memory Device
- Author
-
Jung-En Tsai, Kuei-Shu Chang-Liao, Yan-Lin Li, Wen-Hsien Huang, Chang-Hong Shen, Kuan-Chi Chou, Tzu-Cheng Chao, Jia-Min Shieh, and Hsin-Kai Fang
- Subjects
010302 applied physics ,Materials science ,business.industry ,Three-dimensional integrated circuit ,chemistry.chemical_element ,Germanium ,01 natural sciences ,Flash memory ,Electronic, Optical and Magnetic Materials ,Flash (photography) ,CMOS ,chemistry ,Electric field ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Layer (electronics) ,Quantum tunnelling - Abstract
Operation characteristics of polycrystalline germanium (poly-Ge) tri-gate junctionless (JL) charge-trapping (CT) flash memory devices with stacked tunneling layer were studied in this work. The programming speeds of poly-Ge tri-gate JL flash device with GeOx/Al2O3/SiO2 tunneling layer are faster than those with GeOx/Al2O3 or GeOx/SiO2 ones, thanks to the modified electric field in the tunneling layer. Better retention characteristics are also achieved due to a larger barrier height and physical thickness, because Ge diffusion is effectively suppressed by adding an Al2O3 between GeOx and SiO2, which can improve the quality of tunneling layer. A poly-Ge CT flash device fabricated with low-temperature process is promising for embedded memory in Ge CMOS or 3D IC.
- Published
- 2020
10. First demonstration of flexible poly-Si nano-FETs (W/Lg= 50/80 nm) on the polyimide utilizing multi-wavelength laser annealing assisted by laser-buffer layer
- Author
-
Po-Cheng Hou, Wen-Hsien Huang, Ming-Hsuan Kao, Shih-Wei Chen, Hsing-Hsiang Wang, Chang-Hong Shen, Jia-Min Shieh, Fu-Ming Pan, and Li Chang
- Published
- 2022
11. Operation Characteristics of Gate-All-Around Junctionless Flash Memory Devices With Si₃N₄/ZrO-Based Stacked Trapping Layer
- Author
-
Wen-Hsien Huang, Jia-Min Shieh, Hsin-Kai Fang, C. L. Cheng, Yu-Chin Lu, Kuei-Shu Chang-Liao, and Chang-Hong Shen
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Oxide ,chemistry.chemical_element ,Trapping ,01 natural sciences ,Flash memory ,Band offset ,Electronic, Optical and Magnetic Materials ,Non-volatile memory ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Layer (electronics) ,Quantum tunnelling - Abstract
The operation characteristics of polycrystalline Si (poly-Si) gate-all-around (GAA) junctionless (JL) charge-trapping (CT) flash memory devices with the Zr-based stacked trapping layer were studied in this work. Devices with the Si3N4/ZrO2 stacked trapping layer show enhanced erasing speeds and comparable retention characteristics in comparison to Si3N4/HfO2 due to many shallow energy traps and smaller valance band offset in the ZrO2 layer. By inserting Al2O3 between Si3N4 and ZrO2 trapping layer, the retention and endurance characteristics are improved. The erasing speed can be further improved by a Zr-rich oxide layer near the tunneling oxide since the energy barrier can confine more injected electrons near the tunneling oxide. The retention characteristic can be improved without sacrificing the erasing speed because some of the shallow traps can be passivated by NH3 plasma treatment on ZrO2. Hence, the ZrO-based stacked trapping layer is very promising for the poly-Si GAA JL CT flash devices for nonvolatile memory applications.
- Published
- 2020
12. Device Characteristics of E-mode GaN HEMTs with a Second Gate Connected to the Source
- Author
-
Wen-Kuan Yeh, Wen Ta Hsu, Jia Ming Shieh, Chih Wei Chen, Sze Ching Liu, Jerry Tzou, Wei Chen Ho, Yue Ming Hsin, Wen-Hsien Huang, and Chang Hong Shen
- Subjects
Fabrication ,Materials science ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,High-electron-mobility transistor ,01 natural sciences ,law.invention ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,Electrical and Electronic Engineering ,Drain current ,010302 applied physics ,business.industry ,Direct current ,Transistor ,Schottky diode ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,On resistance ,Electronic, Optical and Magnetic Materials ,Optoelectronics ,0210 nano-technology ,business ,Short circuit ,Hardware_LOGICDESIGN - Abstract
In this study, the device characteristics of dual-gate GaN high-electron-mobility transistors (HEMTs) were determined. The research investigated an enhancement-mode (E-mode) GaN HEMT with a second gate connected to the source and located between the main gate and drain. Two dual-gate GaN HEMTs with different second-gate designs, using Schottky or metal–insulator–semiconductor (MIS) contacts, were simulated and fabricated, and the direct current of the devices was investigated. In device simulation, p-GaN gates were used to achieve E-mode operation in HEMTs. Technology computer-aided design (TCAD) simulation indicated that the saturation drain current (ID, sat) of devices with Schottky and MIS second gates was 88% and 38% lower than that of a single gate structure, while increasing on resistance (Ron) by 31% and 8%, respectively. In device fabrication, a Schottky and MIS second gate were respectively added to an E-mode p-GaN gate HEMT and an E-mode recesses-gate GaN MIS-HEMT. Compared with single-gate structures, the devices with a Schottky and MIS second gates reduced ID, sat by 75% and 32%, respectively, while increasing Ron by 25% and 6%, respectively. The measured electrical characteristics indicate the same trend obtained from TCAD simulation: The dual-gate design can improve the short-circuit capability of GaN HEMTs by reducing the ID, sat under on-state and high-current conditions.
- Published
- 2020
13. Single-Crystal Germanium by Elevated-Laser-Liquid-Phase-Epitaxy (ELLPE) Technique for Monolithic 3D ICs
- Author
-
Hao-Tung Chung, Yu-Ming Pan, Nein-Chih Lin, Bo-Jheng Shih, Chih-Chao Yang, Chang-Hong Shen, Po-Tsang Huang, Huang-Chung Cheng, Kuan-Neng Chen, and Chenming Hu
- Subjects
Electrical and Electronic Engineering ,Electronic, Optical and Magnetic Materials - Published
- 2023
14. Ge Single-Crystal-Island (Ge-SCI) Technique and BEOL Ge FinFET Switch Arrays on Top of Si Circuits for Monolithic 3D Voltage Regulators
- Author
-
Hao-Tung Chung, Bo-Jheng Shih, Chih-Chao Yang, Nei-Chih Lin, Po-Tsang Huang, Yun-Ping Lan, Kuan-Fu Lai, Wan-Ting Hsu, Yu-Ming Pan, Zhong-Jie Hong, Han-Wen Hu, Huang-Chung Cheng, Chang-Hong Shen, Jia-Min Shieh, Fu-Kuo Hsueh, Bo-Yuan Chen, Da-Chiang Chang, Wen-Kuan Yeh, Kuan-Neng Chen, and Chenming HU
- Published
- 2021
15. Study of the Electrical and Diffusion Barrier Properties in Ultrathin Carbon Film-Coated Copper Microwires for Interconnects
- Author
-
Tse Chang Li, Chang Hong Shen, Yuan Chou Jing, Gien-Huang Wu, Chang Shuo Chang, Da Jiun Wang, and Jen Fin Lin
- Subjects
010302 applied physics ,Permittivity ,Materials science ,Diffusion barrier ,Annealing (metallurgy) ,Mechanical Engineering ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Microstructure ,01 natural sciences ,Copper ,Carbon film ,Electrical resistance and conductance ,chemistry ,Mechanics of Materials ,0103 physical sciences ,General Materials Science ,Composite material ,0210 nano-technology ,Sheet resistance - Abstract
Four specimen patterns with the microstructure of a microcopper wire are deposited on the Si-wafer substrate plus thermal oxide (SiO2) film as the top layer. Each pattern was prepared to have two kinds of specimens, including with and without ultrathin carbon film between the copper wire and the top layer (SiO2). The effect of carbon film on electrical properties is evaluated via the measurements of the I (current)–V (voltage) curve, sheet electrical resistance, current leakage, and its ratio and effective permittivity. A rapid thermal annealing (RTA) technique is provided as an economic and efficient method to grow the ultrathin carbon film rapidly as the interlayer. Appropriate choices of 900 °C and 3 min as the annealing temperature and time can produce ultrathin carbon film with nearly 100% coverage of the copper surface. The sheet resistance of specimen demonstrates the behavior exactly opposite to that of the carbon film coverage of wire surface. The combined effect of elevating the voltage and annealing temperature of the specimen with carbon film on the current leakage is much lower than that arising in the specimen without carbon film, so long as the carbon films operating at that temperature (between 350 and 500 °C) are still sustainable. The differences in current leakage and effective permittivity between these two kinds of specimen are significantly increased by raising the temperature. The intensity (IC) of copper diffusions into the SiO2 layer in the specimens with the carbon film demonstrates behavior similar to that of current leakage (CL). The IC and CL values for the temperatures ≦ 350 °C are much lower than those obtained at 500 °C.
- Published
- 2019
16. Evaluations of heat treatment on polymer adhesive bonding and thermal-induced failure of two-layer through-silicon via structures
- Author
-
Jen Fin Lin, Chung-Jen Chung, Chang-Hong Shen, Chang-Fu Han, Chang Shuo Chang, Wen-Luh Yang, Gien-Huang Wu, Cheng-Li Lin, and Rong-Hong Tasi
- Subjects
010302 applied physics ,Materials science ,Through-silicon via ,Composite number ,Metals and Alloys ,chemistry.chemical_element ,Strain energy density function ,02 engineering and technology ,Activation energy ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Copper ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Benzocyclobutene ,0103 physical sciences ,Electrical and Electronic Engineering ,Inductively coupled plasma ,Composite material ,0210 nano-technology ,Instrumentation ,Elastic modulus - Abstract
In the present study, upper and lower substrates made of p-type Si were bonded using benzocyclobutene (BCB) glue, which was then solidified via rapid thermal annealing at various chamber atmospheres. Inductively coupled plasma was applied to prepare through-silicon via (TSV) holes that penetrated the wafer-BCB-wafer (WBW) composite specimen. SiO2 and Ti films and copper pillars were deposited and filled in sequence to form the WBW TSV structure. These specimens were prepared for thermal tests to determine the earliest failure (fracture) time (Tfailure) for the Ti and SiO2 films and the time required for electrical current breakdown (TBD) in the specimens. Theoretical models, including the Johnson-Cook (J-C) fracture model and a numerical scheme, were developed to predict the Tfailure values for the Ti and SiO2 films. Black’s equation was applied to determine the variations of specimen activation energy with externally applied bottom surface temperature and electrical voltage. The numerical results for (Tfailure)Ti, (Tfailure)SiO2, and TBD are obtained quite close to those from the experiments. The elastic modulus of the solidified BCB material can be increased by fabricating the WBW structure under high vacuums. A relatively lower BCB elastic modulus can lower the maximum strain energy density required for fracture in the SiO2 film of the TSV structure, thus effectively increasing TBD. The rate of effective activation energy is the dominant factor for TBD. The effective activation energy in the preparation of BCB bonding decreases with increasing the vacuum.
- Published
- 2019
17. Advanced supercritical fluid technique to reduce amorphous silicon defects in heterojunction solar cells
- Author
-
Sheng-Yao Chou, Shih-Kai Lin, Ting-Chang Chang, Tsung-Ming Tsai, Jen-Wei Huang, Shih-Wei Chen, Chang-Hong Shen, Jia-Min Shieh, Chao-Cheng Lin, and Chih-Cheng Yang
- Subjects
Materials Chemistry ,Electrical and Electronic Engineering ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials - Abstract
The advanced supercritical fluid (SCF) technique was applied to reduce defects in the amorphous silicon thin-film layer and enhance the efficiency of a heterojunction (HJT) solar cell from 18.1% to 19.6%. An amorphous silicon thin-film layer has been used as a passivation layer between the substrate and electrode contact in HJT solar cells; however, many dangling bonds exist in the amorphous silicon thin-film layer. Therefore, the SCF technique was developed to passivate defects. The advantage of a supercritical state is high penetrability and low temperature. Thus, this SCF treatment can passivate defects in the completed device without changing the original fabrication process. After treatment, the passivation of dangling bonds was examined using Fourier-transform infrared spectroscopy, which confirmed the improved Si–H bonding. Moreover, electrical properties such as open-circuit voltage, short-circuit density, efficiency, shunt resistance, and leakage current were measured to confirm the enhancement. A simulated light source of 1 kW M−2 global AM1.5 spectrum was used to analyze the increase in cell efficiency, and the dark current was analyzed to confirm the leakage current improvement. Finally, a model for explaining the phenomenon in cells after treatment was developed.
- Published
- 2022
18. Source/Drain Activation for Flexible Poly-Si Nanoscale pFETs with a Laser-Buffer Layer by CO2 laser Annealing
- Author
-
Po-Cheng Hou, Wen-Hsien Huang, Ming-Hsuan Kao, Hsing-Hsiang Wang, Jia-Min Shieh, Chang-Hong Shen, Fu-Ming Pan, and Li Chang
- Subjects
Electronic, Optical and Magnetic Materials - Abstract
A laser-buffer layer of SiO2/W/SiO2 with a high reflectivity at 10.6 μm enables CO2 laser treatment for the source/drain dopant activation of poly-Si nanoscale field-effect transistor (nano-FET) (Wch/Lg = 70/70 nm) on the flexible polyimide substrate. The laser-buffer layer thermally modified by CO2 laser reduces the sheet resistance of the source/drain to 1.4 kΩ/sq. at low laser energy of 15 W and low substrate temperature of 125 °C. The flexible nano-FET (nano-fFET) exhibits a subthreshold swing (S.S) of 84 mV/dec. and a low drain-induced barrier lowering of 202 mV/V at a bending radius of 10 mm. Low degradation rate of S.S and threshold voltage (Vth) for single- and multi-channel nano-fFETs arises from oxide-trap predomination after long time hot-carrier stress as demonstrated by a ΔVth power-factor of ∼0.2.
- Published
- 2022
19. SiO2 tunneling and Si3N4/HfO2 trapping layers formed with low temperature processes on gate-all-around junctionless charge-trapping flash memory devices
- Author
-
Wen-Hsien Huang, Kuei-Shu Chang-Liao, Chang-Hong Shen, Jia-Min Shieh, C. L. Cheng, Hsin-Kai Fang, and Po-Yao Lin
- Subjects
010302 applied physics ,Materials science ,business.industry ,Charge (physics) ,02 engineering and technology ,Trapping ,Dielectric ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Flash memory ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Flash (photography) ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,Safety, Risk, Reliability and Quality ,business ,3d memory ,Layer (electronics) ,Quantum tunnelling - Abstract
The SiO2 tunneling and Si3N4/HfO2 trapping layers formed with low temperature (LT) processes on operation characteristics of gate-all-around (GAA) junctionless (JL) charge trapping (CT) flash memory devices were studied in this work. The devices with an Ω-nanowire configuration were also compared. The faster operation speeds and larger memory windows are achieved by a GAA configuration. However, the worse retention characteristics for GAA devices may be caused by the SiO2 and Si3N4 layer with worse step coverage, which are formed by the LT processes. The coverage issues of dielectrics deposited with LT processes in GAA JL CT flash devices need solutions for 3D memory applications.
- Published
- 2018
20. Crystal-Orientation-Tolerant Voltage Regulator using Monolithic 3D BEOL FinFETs in Single-Crystal Islands for On-Chip Power Delivery Network
- Author
-
Tzung-Han Tsai, Wen-Kuan Yeh, Chenming Hu, Yun-Ping Lan, Chang-Hong Shen, Chih-Chao Yang, Po-Tsang Huang, Kuan-Neng Chen, Bo-Jheng Shih, Yu-Wei Liu, Jia-Min Shieh, Da-Chiang Chang, Kuan-Fu Lai, and Ping-Yi Hsieh
- Subjects
Power gating ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Voltage regulator ,Pulsed laser deposition ,Crystal ,chemistry ,Optoelectronics ,Field-effect transistor ,business ,Single crystal ,Electronic circuit - Abstract
A single-crystal-island (SCI) technique is demonstrated using low thermal budget pulse laser process to fabricate single-crystal islands for monolithic 3D back-end-of-line (BEOL) FinFET circuits. The single-crystallinity are verified with SECCO etch, HREM, TEM, and EBSD. BEOL FinFETs fabricated in the designed single-crystal Si islands exhibit excellent electrical performance and low intra-island variability. To mitigate the effects of island-to-island device variation due to random island crystal orientations, crystal-orientation-tolerant voltage regulator is further proposed by allocating power gating (PG) cells among multiple Si islands, and 42% power noise suppression can be achieved.
- Published
- 2020
21. Monolithic 3D+-IC Based Massively Parallel Compute-in-Memory Macro for Accelerating Database and Machine Learning Primitives
- Author
-
Srivatsa Srinivasa Rangachar, Meng-Fan Chang, Sheng-Po Huang, Chang-Hong Shen, Jia-Min Shieh, Vijaykrishnan Narayanan, John Sampson, Mon-Shu Ho, Wen-Kuan Yeh, Cheng-Xin Xue, Hariram Thirucherai Govindarajan, Chun-Ying Lee, Akshay Krishna Ramanathan, Je-Min Hung, and Fu-Kuo Hsueh
- Subjects
Speedup ,Database ,Computer science ,business.industry ,020208 electrical & electronic engineering ,Sorting ,Three-dimensional integrated circuit ,02 engineering and technology ,Machine learning ,computer.software_genre ,Application-specific integrated circuit ,0202 electrical engineering, electronic engineering, information engineering ,Multiplication ,Artificial intelligence ,Macro ,business ,Massively parallel ,computer ,Sparse matrix - Abstract
This paper demonstrates the first Monolithic 3D+-IC based Compute-in-Memory (CiM) Macro performing massively parallel beyond-Boolean operations targeting database and machine learning (ML) applications. The proposed CiM technique supports data filtering, sorting, and sparse matrix-matrix multiplication (SpGEMM) operations. Our system exhibits up to 272x speedup and 151x energy savings compared to the ASIC baseline.
- Published
- 2020
22. First Demonstration of Ultrafast Laser Annealed Monolithic 3D Gate-All-Around CMOS Logic and FeFET Memory with Near-Memory-Computing Macro
- Author
-
Chao-Cheng Lin, Wen-Cheng Chiu, Wen-Kuan Yeh, Kun-Kin Lin, Chenming Hu, Szu-Ching Liu, Bo-Yuan Chen, Kai-Shin Li, Da-Chiang Chang, Je-Min Hung, Deng-Yan Niou, Kun-Ming Chen, Meng-Fan Chang, Fu-Kuo Hsueh, Cheng-Xin Xue, Chang-Hong Shen, Yen-Hsiang Huang, Guo-Wei Huang, Jia-Min Shieh, Wen-Hsien Huang, Sheng-Po Huang, Ci-Ling Pan, and Shih-Wei Chen
- Subjects
Bit cell ,Materials science ,business.industry ,Transistor ,Dopant Activation ,Laser ,Gallium arsenide ,law.invention ,chemistry.chemical_compound ,chemistry ,CMOS ,law ,Logic gate ,MOSFET ,Optoelectronics ,business - Abstract
For the first time, ultrafast laser annealed BEOL gate-all-around (GAA) transistor and FeFET memory were demonstrated with monolithic 3D near-memory-computing (NMC) circuit. The GAA MOSFETs employing ultrafast picosecond visible laser dopant activation exhibit record-high Ion (nFETs=407 uA/um, pFETs=345 uA/um). The BEOL FeFETs memory exhibits large memory window ΔV th = 1.2V, more than 106 cycle endurance. Moreover, the 3D stackability of the GAA MOSFETs and FeFET memory bit cell enable reduces the area of the NMC circuitry and improve the readout throughput.
- Published
- 2020
23. Digital Multi-Value Logic Gates for Monolithic GaN Power ICs
- Author
-
Wen-Kuan Yeh, Mengqi Wang, Chang-Hong Shen, Jerry Tzou, Jia-Ming Shieh, Ng Wai Tung, and Wen-Hsien Huang
- Subjects
010302 applied physics ,Computer science ,business.industry ,020208 electrical & electronic engineering ,Spice ,Electrical engineering ,Binary number ,Gallium nitride ,02 engineering and technology ,High-electron-mobility transistor ,01 natural sciences ,Multiplexer ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Inverter ,business ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
In this work we propose a first attempt in the fabrication of a family of monolithic GaN-based quaternary multi-value logic gates (MVL), including QNOT, QNOR, and QNAND in a process flow compatible with enhancement mode (E-mode) power HEMT (BV > 650 V). Integration of MVL with GaN power HEMTs increases logic-state density and allows for the design of smart gate drive circuits with reduced switching loss and ringing when compared to circuits using discrete devices. Using TCAD and SPICE device models calibrated against experimental data we demonstrate the functionality of a quaternary inverter with noise margins NML and NMH above 60% and 50% of the logic state voltage range, respectively. Quaternary logic gates show an average reduction of 27% in area utilization when compared to binary logic gates. Additionally, the layout of a quaternary 16-bit DEMUX circuit is projected to occupy 22.5% less area than its binary equivalent. The application of quaternary MVL to digital control circuits for GaN power HEMTs is expected to reduce total circuit area and consume less power than a binary counterpart.
- Published
- 2020
24. Single-Grain Gate-All-Around Si Nanowire FET Using Low-Thermal-Budget Processes for Monolithic Three-Dimensional Integrated Circuits
- Author
-
Ping Yi Hsieh, Wen-Kuan Yeh, Meng-Chyi Wu, Chih-Chao Yang, Tung Ying Hsieh, Chang Hong Shen, and Jia Min Shieh
- Subjects
Materials science ,lcsh:Mechanical engineering and machinery ,gate-all-around ,Nanowire ,02 engineering and technology ,Substrate (electronics) ,Integrated circuit ,01 natural sciences ,Article ,law.invention ,Ion ,law ,0103 physical sciences ,Thermal ,lcsh:TJ1-1570 ,low-thermal budget ,Electrical and Electronic Engineering ,Crystallization ,monolithic 3D ,nanowire FET ,low power consumption ,010302 applied physics ,laser-assisted salicidation ,business.industry ,Mechanical Engineering ,location-controlled-grain ,laser activation ,021001 nanoscience & nanotechnology ,Neuromorphic engineering ,Control and Systems Engineering ,Optoelectronics ,Degradation (geology) ,0210 nano-technology ,business ,laser crystallization - Abstract
We introduce a single-grain gate-all-around (GAA) Si nanowire (NW) FET using the location-controlled-grain technique and several innovative low-thermal budget processes, including green nanosecond laser crystallization, far-infrared laser annealing, and hybrid laser-assisted salicidation, that keep the substrate temperature (Tsub) lower than 400 °, C for monolithic three-dimensional integrated circuits (3D-ICs). The detailed process verification of a low-defect GAA nanowire and electrical characteristics were investigated in this article. The GAA Si NW FETs, which were intentionally fabricated within the controlled Si grain, exhibit a steeper subthreshold swing (S.S.) of about 65 mV/dec., higher driving currents of 327 µ, A/µ, m (n-type) and 297 µ, m (p-type) @ Vth ±, 0.8 V, and higher Ion/Ioff (>, 105 @|Vd| = 1 V) and have a narrower electrical property distribution. In addition, the proposed Si NW FETs with a GAA structure were found to be less sensitive to Vth roll-off and S.S. degradation compared to the omega(&Omega, )-gate Si FETs. It enables ultrahigh-density sequentially stackable integrated circuits with superior performance and low power consumption for future mobile and neuromorphic applications.
- Published
- 2020
25. Ultrahigh Responsivity and Tunable Photogain BEOL Compatible MoS2 Phototransistor Array for Monolithic 3D Image Sensor with Block-Level Sensing Circuits
- Author
-
Po-Han Chen, Tung-Ying Hsieh, Wen-Kuan Yeh, Ping-Yi Hsieh, Yi-Hsien Lee, Chih-Chao Yang, Meng-Chyi Wu, Jia-Min Shieh, Chang-Hong Shen, Da-Chiang Chang, Po-Tsang Huang, and Yu-Ting Lin
- Subjects
Materials science ,business.industry ,Photodiode ,law.invention ,Responsivity ,Compressed sensing ,CMOS ,law ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Image sensor ,business ,Pulse-width modulation ,Electronic circuit - Abstract
A large-area and scalable monolayer TMD is feasible to employ in monolithic 3D image sensor scheme. For the first time, we represents a prototype $\mathrm{MoS}_{2}$ phototransistor array with ultrahigh responsivity $(> 10^{3}\ \mathrm{A}/\mathrm{W})$ and tunable photogain (10 2 ~10 5 ) which can be directly implemented on a CMOS circuit connected with BEOL fine-pitch vertical interconnects. Electric gate pulse modulation mitigates photo gating (PG) and persistent photoconductance (PPC) effects from layered semiconductor interface. Both three-order-of-magnitude improvements of response speed and fine-pitch vertical interconnects empower block-level compressive sensing circuits and global image-signal processing for gain control and data compression.
- Published
- 2020
26. Flexible and Transparent BEOL Monolithic 3DIC Technology for Human Skin Adaptable Internet of Things Chips
- Author
-
Wen-Kuan Yeh, Wen-Hsien Huang, Jia-Min Shieh, Ming-Hsuan Kao, Po-Cheng Hou, Wei-Hsiang Chen, and Chang-Hong Shen
- Subjects
Fabrication ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Substrate (electronics) ,chemistry ,MOSFET ,Optoelectronics ,Static random-access memory ,business ,Layer (electronics) ,Polyimide ,Electronic circuit - Abstract
For the first time, below 400°C-fabricated poly-Si MOSFETs and 6T -SRAM fabrication process was demonstrated on polyimide (PI) substrate for flexible and transparent monolithic 3DIC. Key enablers are 400–900 nm transparent laser-stop layer (LsL), laser-crystallized/CMP-thinned poly Si channel and pulse UV-laser S/D activation. These advanced low thermal budget fabrication technologies enable stackable polySi MOSFETs on flexible 6” -wafer-scale PI substrate with high device uniformity $(\mathrm{V}_{\mathrm{th}}$ ‘SS~16.2%/16.6%) and bending stability $(\mathrm{V}_{\mathrm{th}}/\mathrm{SS}\sim 4.2\%/9.8\%)$ after cycle-bending at radius of 10mm. Such CMOS compatible technologies envision flexible 3D heterogeneous integration of circuits/optical sensors for human-skin adaptable Internet of Things (IoT) chips.
- Published
- 2020
27. Monolithic 3D SRAM-CIM Macro Fabricated with BEOL Gate-All-Around MOSFETs
- Author
-
Ming-Hsuan Kao, Kun-Ming Chen, Yen-Cheng Chiu, Meng-Fan Chang, Kai-Shin Li, Guo-Wei Huang, Cheng-Xin Xue, Jia-Min Shieh, Bo-Yuan Chen, Chun-Ying Lee, Fu-Kuo Hsueh, Chien-Ting Wu, Chang-Hong Shen, Hsiu-Chih Chen, Wen-Hsien Huang, Kun-Lin Lin, Wen-Kuan Yeh, and Chenming Hu
- Subjects
010302 applied physics ,Fabrication ,Materials science ,business.industry ,Transistor ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Plasma-immersion ion implantation ,law.invention ,law ,Etching (microfabrication) ,0103 physical sciences ,Optoelectronics ,Static random-access memory ,0210 nano-technology ,business ,Throughput (business) - Abstract
For the first time, below 400°C-fabricated gate-all-around (GAA) transistor fabrication process was demonstrated with monolithic computing-in-memory (CIM) circuit. Key enablers are plasma-assisted atomic layer etching (PA-ALE), plasma immersion ion implantation (PIII) and far-infrared laser activation (FIR-LA). The 3D stackable single-grained Si GAA MOSFETs thus fabricated exhibit record-high I on /I off ratio (~108) with low I off (pFETs
- Published
- 2019
28. Environmentally and Mechanically Stable Selenium 1D/2D Hybrid Structures for Broad-Range Photoresponse from Ultraviolet to Infrared Wavelengths
- Author
-
Johnny C. Ho, Yu Ze Chen, Kung-Hwa Wei, Chang Hong Shen, Pin Jung Chen, Yu Chuan Shih, Tzu-Chien Wei, Ching Chen Chang, Ling Lee, Dapan Li, Chia Wei Chen, Yen Ting You, Teng Yu Su, Cheng You Hong, Yi Chung Wang, and Yu-Lun Chueh
- Subjects
Materials science ,business.industry ,Photoconductivity ,Nanowire ,Photodetector ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Piezoelectricity ,0104 chemical sciences ,chemistry ,Thermoelectric effect ,Optoelectronics ,General Materials Science ,Thin film ,0210 nano-technology ,business ,Indium - Abstract
Selenium (Se) is one of the potential candidates as photodetector because of its outstanding properties such as high photoconductivity (∼8 × 104 S cm–1), piezoelectricity, thermoelectricity, and nonlinear optical responses. Solution phase synthesis becomes an efficient way to produce Se, but a contamination issue that could deteriorate the electric characteristic of Se should be taken into account. In this work, a facile, controllable approach of synthesizing Se nanowires (NWs)/films via a plasma-assisted growth process was demonstrated at the low substrate temperature of 100 °C. The detailed formation mechanisms of nanowires arrays to thin films at different plasma powers were investigated. Moreover, indium (In) layer was used to enhance the adhesive strength with 50% improvement on a SiO2/Si substrate by mechanical interlocking and surface alloying between Se and In layers, indicating great tolerance for mechanical stress for future wearable devices applications. Furthermore, the direct growth of Se NWs...
- Published
- 2018
29. A Dual-Split-Controlled 4P2N 6T SRAM in Monolithic 3D-ICs With Enhanced Read Speed and Cell Stability for IoT Applications
- Author
-
Wen-Kuan Yeh, Yi-Ju Chen, Guo-Wei Huang, Meng-Fan Chang, Bo-Yuan Chen, Chien-Fu Chen, Hsiao-Yun Chiu, Kai-Shin Li, Chih-Chao Yang, Hiroyuki Yamauchi, Fu-Kuo Hsueh, Wei-Hao Chen, Jia-Min Shieh, and Chang-Hong Shen
- Subjects
Computer science ,business.industry ,020208 electrical & electronic engineering ,Transistor ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Write margin ,021001 nanoscience & nanotechnology ,Stability (probability) ,Electronic, Optical and Magnetic Materials ,Dual (category theory) ,law.invention ,PMOS logic ,law ,MOSFET ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Static random-access memory ,Electrical and Electronic Engineering ,0210 nano-technology ,Internet of Things ,business - Abstract
Recent monolithic 3-D integrated-circuit (3D-IC) technology tends to induce stronger driving capability in pMOS transistors, compared to that obtained using existing processes. Thus, conventional 6T SRAMs suffer degradation in access speed and write margin when used in monolithic 3D-ICs. This letter proposes a dual-split-controlled 4P2N (DSC-4P2N) SRAM with corresponding read and write assist schemes capable of providing a larger cell read current and higher write margins compared to 4N2P SRAMs implemented in monolithic 3D-ICs. The proposed DSC scheme improves $4.8\times $ in read stability compared to 4P2N SRAM without DSC. A fabricated DSC-4P2N SRAM in monolithic 3D-IC was shown to outperform the previous 6T SRAMs.
- Published
- 2018
30. Effects of SiO 2 film thickness and operating temperature on thermally-induced failures in through-silicon-via structures
- Author
-
Chung Jen Chung, Chang Fu Han, Chang Hong Shen, Jen Fin Lin, and Yi Zhe Guo
- Subjects
010302 applied physics ,Materials science ,Through-silicon via ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Transient temperature ,01 natural sciences ,Copper ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Stress (mechanics) ,Trustworthiness ,chemistry ,Operating temperature ,0103 physical sciences ,Fracture (geology) ,Wafer ,Electrical and Electronic Engineering ,Composite material ,0210 nano-technology ,Safety, Risk, Reliability and Quality - Abstract
In the present study, the experimental results of the thermally induced failure (fracture) time for the components of copper through‑silicon via (TSV) structures and the time for electrical current breakdown (TBD) are obtained to investigate the effects of the thickness of the SiO2 film and the operating temperature. The numerical scheme is also developed to solve the distributions of transient temperature and stress in the specimen and the equivalent stress/strain for the elements in the Ti, SiO2 and Si components of the TSV structure. The equivalent element stress solutions incorporating with the Johnson-Cook (J-C) fracture model are provided to identify the earliest failure element and time in each of these three components and the TBD of the structure via the definition for the D factor. The applied models and numerical scheme are confirmed to be trustworthy from the comparison of the numerically predicted and experimental results for these failure time parameters. The effects of the operating temperature of specimen's bottom surface and the film thickness of SiO2 on these time parameters have been evaluated precisely. The TBD time is elongated by increasing the thickness of either SiO2 or Ti film if the bottom surface is operating at a fixed temperature. The earliest failure time (tfailure) for the components of Ti, SiO2 and Si wafer and the TBD are always reduced by the rise of operating temperature.
- Published
- 2018
31. High-Mobility GeSn n-Channel MOSFETs by Low-Temperature Chemical Vapor Deposition and Microwave Annealing
- Author
-
Chang-Hong Shen, C. W. Liu, Jiun-Yun Li, Yen Chuang, Po-Yuan Chiu, Guang-Li Luo, and Tzu-Hung Liu
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,Ambipolar diffusion ,Annealing (metallurgy) ,business.industry ,Oxide ,02 engineering and technology ,Chemical vapor deposition ,Dopant Activation ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Leakage (electronics) - Abstract
A Ge0.955Sn0.045 nMOSFET with a record high mobility of 440 cm2/V $\cdot $ s is demonstrated in this letter by a gate-first process. By low-temperature chemical vapor deposition, high-quality GeSn films were epitaxially grown. The ambipolar leakage is effectively suppressed by the low-thermal-budget microwave annealing (MWA) step. Furthermore, the peak mobility in the device after the MWA step is enhanced by a factor of two compared with those after rapid thermal annealing. This can be attributed to the weaker Coulomb scattering at the oxide interface after the MWA step, suggesting that MWA is effective for dopant activation and a better oxide interface quality at the same time.
- Published
- 2018
32. High-Performance Recessed-Channel Germanium Thin-Film Transistors via Excimer Laser Crystallization
- Author
-
Huang-Chung Cheng, Chang-Hong Shen, Jia-Min Shieh, Chan-Yu Liao, Wen-Hsien Huang, and Shih-Hung Chen
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,law.invention ,Grain growth ,chemistry ,Thin-film transistor ,law ,0103 physical sciences ,Optoelectronics ,Grain boundary ,Electrical and Electronic Engineering ,Thin film ,Crystallization ,0210 nano-technology ,business - Abstract
This letter demonstrates the excimer laser crystallization (ELC) of germanium (Ge) thin films with the recessed-channel (RC) structure for high-performance p-channel Ge thin-film transistors (TFTs). Using ELC, large longitudinal grains with a single perpendicular grain boundary (GB) in the center of the recessed region were formed. This can be attributed to the lateral grain growth from un-melted Ge solid seeds in the thick region toward the complete melting recessed region during ELC. Consequently, the proposed p-channel RC-ELC Ge TFTs possessing large longitudinal grains without the perpendicular GB in the channel region exhibited a superior field-effect hole mobility of 447 cm2V−1s−1 with minor performance deviation.
- Published
- 2018
33. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
- Author
-
Wen-Kuan Yeh, Xiao-Peng Wu, Kuo-Hsiung Chu, Hao-Chung Kuo, Jia-Ming Shieh, Yung-Sheng Fang, Erik Østreng, Chang-Hong Shen, An-Jye Tzou, Bo-Wei Wu, I-Feng Lin, and Chun-Yen Chang
- Subjects
Surface passivation ,Materials science ,Passivation ,Oxide ,Analytical chemistry ,02 engineering and technology ,High-electron-mobility transistor ,01 natural sciences ,GaN ,High electron mobility transistor (HEMT) ,chemistry.chemical_compound ,Atomic layer deposition ,X-ray photoelectron spectroscopy ,0103 physical sciences ,lcsh:TA401-492 ,Breakdown voltage ,General Materials Science ,Spectroscopy ,010302 applied physics ,Nano Express ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Threshold voltage ,Atomic layer deposition (ALD) ,chemistry ,Current collapse ,lcsh:Materials of engineering and construction. Mechanics of materials ,0210 nano-technology - Abstract
We report a low current collapse GaN-based high electron mobility transistor (HEMT) with an excellent thermal stability at 150 °C. The AlN was grown by N2-based plasma enhanced atomic layer deposition (PEALD) and shown a refractive index of 1.94 at 633 nm of wavelength. Prior to deposit AlN on III-nitrides, the H2/NH3 plasma pre-treatment led to remove the native gallium oxide. The X-ray photoelectron spectroscopy (XPS) spectroscopy confirmed that the native oxide can be effectively decomposed by hydrogen plasma. Following the in situ ALD-AlN passivation, the surface traps can be eliminated and corresponding to a 22.1% of current collapse with quiescent drain bias (V DSQ) at 40 V. Furthermore, the high temperature measurement exhibited a shift-free threshold voltage (V th), corresponding to a 40.2% of current collapse at 150 °C. The thermal stable HEMT enabled a breakdown voltage (BV) to 687 V at high temperature, promising a good thermal reliability under high power operation.
- Published
- 2017
34. Wafer-Scale Growth of WSe2 Monolayers Toward Phase-Engineered Hybrid WOx/WSe2 Films with Sub-ppb NOx Gas Sensing by a Low-Temperature Plasma-Assisted Selenization Process
- Author
-
Jia-Min Shieh, Yann Wen Lan, Arumugam Manikandan, Yu Ze Chen, Shin Hung Tsai, Kang L. Wang, Ali Javey, Henry Medina, Teng Yu Su, Chia Wei Chen, Yu-Lun Chueh, Stuart R. Thomas, Jian Hua Yang, Xiaodan Zhu, Wei Sheng Lin, Heh-Nan Lin, Bo Wei Wu, Shao Hsin Lee, Jian Guang Li, Yu Chuan Shih, Aryan Navabi, and Chang Hong Shen
- Subjects
Electron mobility ,Materials science ,General Chemical Engineering ,Oxide ,Nanotechnology ,02 engineering and technology ,010402 general chemistry ,01 natural sciences ,chemistry.chemical_compound ,symbols.namesake ,Transition metal ,X-ray photoelectron spectroscopy ,Materials Chemistry ,Wafer ,business.industry ,General Chemistry ,021001 nanoscience & nanotechnology ,0104 chemical sciences ,Semiconductor ,Chemical engineering ,chemistry ,symbols ,Inductively coupled plasma ,0210 nano-technology ,business ,Raman spectroscopy - Abstract
An inductively coupled plasma (ICP) process was used to synthesize transition metal dichalcogenides (TMDs) through a plasma-assisted selenization process of metal oxide (MOx) at a temperature as low as 250 °C. In comparison with other CVD processes, the use of ICP facilitates the decomposition of the precursors at low temperatures. Therefore, the temperature required for the formation of TMDs can be drastically reduced. WSe2 was chosen as a model material system due to its technological importance as a p-type inorganic semiconductor with an excellent hole mobility. Large-area synthesis of WSe2 on polyimide (30 × 40 cm2) flexible substrates and 8 in. silicon wafers with good uniformity was demonstrated at the formation temperature of 250 °C confirmed by Raman and X-ray photoelectron (XPS) spectroscopy. Furthermore, by controlling different H2/N2 ratios, hybrid WOx/WSe2 films can be formed at the formation temperature of 250 °C confirmed by TEM and XPS. Remarkably, hybrid films composed of partially reduced...
- Published
- 2017
35. High Mechanical Strength Thin HIT Solar Cells With Graphene Back Contact
- Author
-
Chang-Hong Shen, W. C. Huang, Jia-Min Shieh, Parag Parashar, Shi-Wei Chen, Chih Chieh Yang, Ming-Hsuan Kao, Tseung-Yuen Tseng, Ding-Rung Jian, Yi-Wen Huang, and Albert Lin
- Subjects
lcsh:Applied optics. Photonics ,Materials science ,semiconductor materials ,Nanotechnology ,02 engineering and technology ,inorganic materials ,010402 general chemistry ,01 natural sciences ,law.invention ,law ,Photovoltaics ,Solar cell ,Ultimate tensile strength ,lcsh:QC350-467 ,Wafer ,Electrical and Electronic Engineering ,business.industry ,Graphene ,Graphene foam ,lcsh:TA1501-1820 ,021001 nanoscience & nanotechnology ,Atomic and Molecular Physics, and Optics ,0104 chemical sciences ,Indium tin oxide ,photovoltaics ,Solar cell efficiency ,Optoelectronics ,0210 nano-technology ,business ,Optoelectronic materials ,lcsh:Optics. Light - Abstract
It is widely known that thinner Si substrate is the main path for lower $/Watt HIT solar cells due to improved charge collection, reduced bulk and total recombination, and fewer raw material consumption (Panasonic, IEEE Journal of Photovoltaics., vol. 4, p. 96, 2014). Nonetheless, thin substrates always lead to low mechanical stability and wafer breaking. In this work, spray coated 50 nm graphene layer is used as the back electrode in Si HIT solar cells to enhance the mechanical stability. With the incorporation of graphene as the back electrode in Si HIT solar cells, remarkable improvements in substrate mechanical strength are achieved. Without the degradation of HIT solar cell efficiency, hardness is increased nearly twofold from 902 to 1747 HV. The Young's modulus is increased from 93.9 to 140.1 GPa while the ultimate tensile strength is increased from 96.71 to 273.68 MPa. Low-cost chemical exfoliation method and low-temperature (150 °C) spray coating method have been employed for the preparation and deposition of thin graphene back electrode, respectively. In addition, unlike the graphene as the substitute for ITO in OLED applications, the graphene strengthened thin silicon substrate technology here imposes no additional constraint on the graphene electrode transparency since it is used as a back electrode. We, thereby, believe that our proposed method is effective for attaining higher efficiency and lower $/Watt thin Si HIT solar cell technology with enhanced mechanical strength.
- Published
- 2017
36. Transient Thermal Damage Simulation for Novel Location-Controlled Grain Technique in Monolithic 3D IC
- Author
-
Chih-Ming Shen, Ming-Chi Tai, Chang-Hong Shen, Kuan-Neng Chen, Pin-Jun Chen, Wei-Chung Lo, Chih-Chao Yang, and Chenming Hu
- Subjects
Materials science ,business.industry ,Three-dimensional integrated circuit ,Dielectric ,Integrated circuit ,Thermal conduction ,Finite element method ,law.invention ,Amorphous solid ,Semiconductor ,law ,Optoelectronics ,Transient (oscillation) ,business - Abstract
In this research, Finite Element Method (FEM) is used to simulate transient thermal conduction in the monolithic three-dimensional integrated circuit (3DIC) with a novel location-controlled-grain (LCG) technique. Through this method, the impact of laser flux, amorphous Si thickness and interlayer dielectric (ILD) thickness on that model can be investigated. Furthermore, with the assistance of thermal damage simulation, we can utilize the optimal process parameters in this state-of-the-art technique to accelerate the development of advanced semiconductor technologies.
- Published
- 2019
37. Ultra-Low Power 3D NC-FinFET-based Monolithic 3D+ -IC with Computing-in-Memory for Intelligent IoT Devices
- Author
-
Chih-Chao Yang, Peng Chen, Kai-Shin Li, Wen-Kuan Yeh, Hsiu-Chih Chen, Guo-Wei Huang, Jia-Min Shieh, Kun-Ming Chen, Wei-Hao Chen, Meng-Fan Chang, Srivatsa Srinivasa, Bo-Yuan Chen, Yung-Ning Tu, Chun-Ying Lee, Wen-Hsien Huang, Fu-Kuo Hsueh, Vijaykrishnan Narayanan, and Chang-Hong Shen
- Subjects
Materials science ,business.industry ,Gate dielectric ,Doping ,Three-dimensional integrated circuit ,Laser ,Ferroelectricity ,law.invention ,Power (physics) ,law ,Inverter ,Optoelectronics ,Static random-access memory ,business - Abstract
For the first time, ultra-low power ferroelectric FinFET-based monolithic 3D+-IC technology was demonstrated for near memory computing (NMC) circuit. Key enablers are ICP-SiO 2 interfacial layer, doped hafnia ferroelectric gate dielectric layer (HfZrO 2 ), and far-infrared laser activation. The proposed stackable 3D NC-FinFETs thus fabricated exhibit record-low sub-threshold swing (NC-nFinFET: 45mV/dec and NC-pFinFET: 50mV/dec) and high I on /I off (>106) that enable ultra-low power operation $(\mathrm{V}_{\text{DD}}=100\text{mV})$ of CMOS inverter and SRAM. Moreover, above mentioned features of NC-FinFETs and the differential output of SRAM readout enable 50+% area reduction in the near-memory computing circuitry.
- Published
- 2018
38. Location-controlled-grain Technique for Monolithic 3D BEOL FinFET Circuits
- Author
-
Shih-Wei Chen, Po-Tsang Huang, Wen-Kuan Yeh, Chenming Hu, Chih-Chao Yang, Chia-He Chang, Kuan-Neng Chen, Jia-Min Shieh, Meng-Chyi Wu, Wan-Chi Wu, Chang-Hong Shen, and Tung-Ying Hsieh
- Subjects
010302 applied physics ,Materials science ,business.industry ,Dielectric ,Swing ,01 natural sciences ,law.invention ,Pulsed laser deposition ,law ,0103 physical sciences ,Optoelectronics ,Crystallization ,business ,Electronic circuit - Abstract
A location-controlled-grain technique is presented for fabricating BEOL monolithic 3D FinFET ICs over SiO 2 . The grain-boundary free Si FinFETs thus fabricated exhibit steep sub-threshold swing ( $385\ \mu \mathrm{A}/\mu \mathrm{m}$ ), and high I on /I off (>106). According to simulation, the thickness of the interlayer dielectric plays an important role and shall be thicker than 250nm so that the sequential pulse laser crystallization process does not heat the bottom devices and interconnects to more than 400 °C.
- Published
- 2018
39. Ge GAA FETs and TMD FinFETs for the Applications Beyond Si—A Review
- Author
-
Guang-Li Luo, Wen-Kuan Yeh, Fu-Ju Hou, Chang-Hong Shen, Jia-Min Shieh, Yao-Jen Lee, Wen-Fa Wu, Min-Cheng Chen, and Chih-Chao Yang
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,Condensed matter physics ,Equivalent series resistance ,Nanowire ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Gallium arsenide ,chemistry.chemical_compound ,chemistry ,Gate oxide ,0103 physical sciences ,MOSFET ,Field-effect transistor ,Production (computer science) ,Electrical and Electronic Engineering ,0210 nano-technology ,Biotechnology - Abstract
Two parts of work are included in this paper. In the first part, the novel Ge gate-all-around field effect transistors (GAA FETs) are introduced and discussed. Fabrication of Ge GAA FETs requires only simple top-down dry etching and blanket Ge epitaxy techniques readily available in mass production. First, a novel process to etch away the defective Ge near Ge/Si interface from epitaxial Ge grown on SOI achieves a nearly defect-free channel, good gate control triangular gate, larger effective width than rectangular fin, and have low punch-through current through the Si substrate. By dislocation removal, the defect-free Ge channel can be formed on nothing. The ${p}$ -channel triangular Ge GAA FET with fin width ( ${W} _{\mathrm{ fin}}$ ) of 52 nm and Lg of 183 nm has $\text{I}_{\mathrm{ on}}/\text{I}_{\mathrm{ off}} = 10^{5}$ , SS = 130 mV/dec, and Ion = 235 $\mu \text{A}/\mu \text{m}$ at -1 V. Next, due to the highest electron mobility (2200 cm2/Vs) on (111) Ge surface, the $n$ -channel triangular Ge GAA FET with (111) sidewalls on Si and Lg = 350 nm shows 2 times enhanced Ion with respect to the devices with near (110) sidewalls. Electrostatic control of SS = 94 mV/dec (at 1 V) can be further improved if superior gate stack than EOT = 5.5 nm and Dit $= 1\times 10^{12}$ cm $^{-2} \cdot $ eV $^{-1}$ is used. The Ion can be further enhanced if the line edge roughness (LER) can be reduced. Second, a feasible pathway to scale the Ge MOSFET technology by using a novel diamond-shaped Ge GAA FET with four {111} facets is also reviewed. The proposed dry etching process involves three isotropic/anisotropic etching steps with different Cl2/HBr ratios for forming the suspended diamond-shaped channel. Taking advantages of the GAA configuration, favorable carrier mobility of the {111} surface, and nearly defect-free suspended channel, nFET and pFET with excellent performance have been demonstrated, including an $\text{I}_{\mathrm{ on}}/\text{I}_{\mathrm{ off}}$ ratio exceeding $10^{8}$ , the highest ever reported for Ge-based pFETs. The TMD FinFET devices are reviewed in the second part of this paper. The TMD FinFET channel is deposited by CVD. MoS2 covered on Si fin and nanowire resulted in improved (+25%) $\text{I}_{on}$ of the FinFET and nanowire FET. The PFETs also operated effectively and the N/P device $\text{V}_{\mathrm{ th}}$ are low and matched perfectly. The proposed heterogeneous Si/TMD 3DFETs can be useful in future electronics. Furthermore, a 4 nm thin transition-metal dichalcogenide (TMD) body FinFET with back gate control is also proposed and reviewed. Hydrogen plasma treatment of TMD is employed to lower the series resistance. The 2 nm thin back gate oxide enables 0.5 V of $\text{V}_{\mathrm{ th}}$ shift with 1.2 V change in back bias for correcting device variations and dynamically configuring a device as a high performance or low leakage device. TMD can potentially provide sub-nm thin monolayer body needed for 2 nm node FinFET.
- Published
- 2016
40. 30×40 cm2 flexible Cu(In,Ga)Se2 solar panel by low temperature plasma enhanced selenization process
- Author
-
Hsu-Sheng Tsai, Yu Ting Yen, Jia-Min Shieh, Chang Hong Shen, Chia Ho Chang, Wen Chi Tsai, Tsung-Ta Wu, Cheng Hung Hsu, and Yu-Lun Chueh
- Subjects
010302 applied physics ,Materials science ,Renewable Energy, Sustainability and the Environment ,Energy conversion efficiency ,02 engineering and technology ,Substrate (electronics) ,Activation energy ,021001 nanoscience & nanotechnology ,01 natural sciences ,Copper indium gallium selenide solar cells ,Grain size ,Crystallinity ,Chemical engineering ,0103 physical sciences ,General Materials Science ,Electrical and Electronic Engineering ,Thin film ,Inductively coupled plasma ,0210 nano-technology - Abstract
A progressing non-toxic plasma-enhanced solid Se vapor selenization process (PESVS) technique, compared with hydrogen-assisted Se vapor selenization (HASVS) to achieve a large-area (30×40 cm2) Cu(In,Ga)Se2 (CIGS) solar panel with enhanced efficiencies from 10.8% to 13.2% (14.7% for active area), was demonstrated. The bonding of Se was partially broken by ICP plasma treatment and these Se radicals are helpful to enhance reaction activity for following selenization process at an extremely low temperature of 330 °C. The effects of plasma steps, plasma power, selenization temperature and optimized conditions were thoroughly studied in detail. The remarkable enhancement of the efficiency is ascribed to the better crystallinity, enlarged grain size, less Se vacancy and uniform depth distribution of Ga. From reaction kinetics point of view, PESVS provides extra energy to crack Se, resulting in the decrease in reaction activation energy. The PESVS methodology was also applied to low temperature (450 °C) selenized CIGS thin film solar panel with uniform conversion efficiency more than ~10%. Furthermore, a large-area flexible stainless steel substrate with remarkable conversion efficiency of ~6.8% without Na addition was demonstrated. We believed that this work can provide a facile approach of low temperature selenization on flexible substrate applications or fast selenization for throughput consideration, thus stimulating the mass-production in large scale CIGS PV industry.
- Published
- 2016
41. High Gamma Value 3D-Stackable HK/MG-Stacked Tri-Gate Nanowire Poly-Si FETs With Embedded Source/Drain and Back Gate Using Low Thermal Budget Green Nanosecond Laser Crystallization Technology
- Author
-
Wen-Kuan Yeh, Meng-Chyi Wu, Jia-Min Shieh, Chang-Hong Shen, Chih-Chao Yang, Wen-Hsien Huang, Jung-Hau Shiu, Tung Ying Hsieh, Hsing-Hsiang Wang, Yu-Hsiu Chen, and Tsung-Ta Wu
- Subjects
010302 applied physics ,Materials science ,business.industry ,Subthreshold conduction ,Transistor ,Nanowire ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,law.invention ,Threshold voltage ,law ,Gate oxide ,Logic gate ,0103 physical sciences ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,Crystallization ,0210 nano-technology ,business - Abstract
Three-dimensional sequentially stackable high- $k$ /metal-gate-stacked tri-gate nanowire poly-Si FETs with embedded source/drain (e-S/D) and back gate were demonstrated. The highly crystallized channel, fabricated by green nanosecond laser crystallization, chemical mechanical polish, and postsurface modification processes, enhances the electrical property of the tri-gate nanowire FET. The e-S/D structure reduces the contact and series resistances caused by the nanowire structure. Thus, the fabricated n/p-type tri-gate nanowire poly-Si FETs exhibit steep subthreshold swings (96/125 mV/decade), high ON-currents (232/110 $\mu \text{A}/\mu \text{m}$ ), and $I_{{\mathrm{\scriptscriptstyle {on}}}}/I_{\mathrm{\scriptscriptstyle {OFF}}}$ ratio ( $> 10^{5})$ . Furthermore, the independent back gate with thin back gate oxide can easily adjust the threshold voltage of the tri-gate nanowire transistor and results in high gamma value (>0.05) FET realizing sequentially stacked and low $V_{\mathrm {dd}}$ (0.6 V) operable inverter.
- Published
- 2016
42. Flexible high performance hybrid AZO/Ag-nanowire/AZO sandwich structured transparent conductors for flexible Cu(In,Ga)Se2 solar cell applications
- Author
-
Wen Chi Tsai, Stuart R. Thomas, Zhiming Wang, Chia Ho Chang, Yu Chen Huang, Yu-Lun Chueh, Jiun Yi Tseng, Tsung-Ta Wu, Jia-Min Shieh, Cheng Hung Hsu, and Chang Hong Shen
- Subjects
010302 applied physics ,Materials science ,Renewable Energy, Sustainability and the Environment ,business.industry ,Energy conversion efficiency ,Nanowire ,02 engineering and technology ,General Chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Copper indium gallium selenide solar cells ,Indium tin oxide ,law.invention ,law ,0103 physical sciences ,Electrode ,Solar cell ,Optoelectronics ,General Materials Science ,Charge carrier ,0210 nano-technology ,business ,Transparent conducting film - Abstract
We report on the fabrication of a robust and flexible transparent electrode to replace costly and fragile indium tin oxide (ITO) used in flexible Cu(In,Ga)Se2 solar cells, composed of an aluminum doped zinc oxide (AZO)/Ag-nanowires/aluminum doped zinc oxide (AZO) (AAA herein) sandwich structure. The Ag-NWs networks form low-resistance, long-range pathways throughout the electrode that are able to maintain efficient charge carrier collection and extraction after strenuous mechanical bending. The improved durability of the AAA electrode enables our CIGS solar cells to maintain ∼95% of their initial power conversion efficiency, following 1000 bending cycles. In comparison, devices fabricated using AZO and ITO electrodes are only able to maintain ∼57 and ∼5%, respectively, due to crack formation and delamination of the films. This AAA sandwich structure electrode could therefore serve as a high-performance electrode for numerous flexible optoelectronic applications.
- Published
- 2016
43. Ge GAA FETs and TMD FinFETs for the Applications Beyond Si—A Review
- Author
-
Yao-Jen Lee, Guang-Li Luo, Fu-Ju Hou, Min-Cheng Chen, Chih-Chao Yang, Chang-Hong Shen, Wen-Fa Wu, Jia-Min Shieh, and Wen-Kuan Yeh
- Subjects
diamond-shaped ,Ge ,triangle ,TMD ,GAA ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,MoS2 ,lcsh:TK1-9971 - Abstract
Two parts of work are included in this paper. In the first part, the novel Ge gate-all-around field effect transistors (GAA FETs) are introduced and discussed. Fabrication of Ge GAA FETs requires only simple top-down dry etching and blanket Ge epitaxy techniques readily available in mass production. First, a novel process to etch away the defective Ge near Ge/Si interface from epitaxial Ge grown on SOI achieves a nearly defect-free channel, good gate control triangular gate, larger effective width than rectangular fin, and have low punch-through current through the Si substrate. By dislocation removal, the defect-free Ge channel can be formed on nothing. The p-channel triangular Ge GAA FET with fin width (Wfin) of 52 nm and Lg of 183 nm has Ion/Ioff = 105, SS = 130 mV/dec, and Ion = 235 μA/μm at -1 V. Next, due to the highest electron mobility (2200 cm2/Vs) on (111) Ge surface, the n-channel triangular Ge GAA FET with (111) sidewalls on Si and Lg = 350 nm shows 2 times enhanced Ion with respect to the devices with near (110) sidewalls. Electrostatic control of SS = 94 mV/dec (at 1 V) can be further improved if superior gate stack than EOT = 5.5 nm and Dit = 1×1012 cm-2·eV-1 is used. The Ion can be further enhanced if the line edge roughness (LER) can be reduced. Second, a feasible pathway to scale the Ge MOSFET technology by using a novel diamond-shaped Ge GAA FET with four {111} facets is also reviewed. The proposed dry etching process involves three isotropic/anisotropic etching steps with different Cl2/HBr ratios for forming the suspended diamond-shaped channel. Taking advantages of the GAA configuration, favorable carrier mobility of the {111} surface, and nearly defect-free suspended channel, nFET and pFET with excellent performance have been demonstrated, including an Ion/Ioff ratio exceeding 108, the highest ever reported for Ge-based pFETs. The TMD FinFET devices are reviewed in the second part of this paper. The TMD FinFET channel is deposited by CVD. MoS2 covered on Si fin and nanowire resulted in improved (+25%) Ion of the FinFET and nanowire FET. The PFETs also operated effectively and the N/P device Vth are low and matched perfectly. The proposed heterogeneous Si/TMD 3DFETs can be useful in future electronics. Furthermore, a 4 nm thin transition-metal dichalcogenide (TMD) body FinFET with back gate control is also proposed and reviewed. Hydrogen plasma treatment of TMD is employed to lower the series resistance. The 2 nm thin back gate oxide enables 0.5 V of Vth shift with 1.2 V change in back bias for correcting device variations and dynamically configuring a device as a high performance or low leakage device. TMD can potentially provide sub-nm thin monolayer body needed for 2 nm node FinFET.
- Published
- 2016
44. Transparent planar indium tin oxide for a thermo-photovoltaic selective emitter
- Author
-
Yu-Bin Chen, Tseung-Yuen Tseng, Da-Chiang Chang, Jia-Ming Shieh, Albert Lin, Peichen Yu, Parag Parashar, Tejender Singh Rawat, Chang-Hong Shen, Yi-Hua Yang, and Shih-Wei Chen
- Subjects
Materials science ,Silicon ,business.industry ,Band gap ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Indium tin oxide ,010309 optics ,chemistry ,Thermophotovoltaic ,0103 physical sciences ,Emissivity ,Sapphire ,Optoelectronics ,Thin film ,0210 nano-technology ,business ,Common emitter - Abstract
Designing an efficient emitter design is an important step for achieving a highly efficient TPV conversion process. Wavelength-selective emissivity, spectra match between the emitter and TPV cells, and high thermal stability are three main characteristics that must be considered before implementing the emitter. In this work, an indium tin oxide (ITO)/sapphire emitter structure is investigated for TPV application over the temperature range from 200°C to 1000°C. A 1-µm-thick ITO layer is deposited on a 650-µm-thick sapphire substrate. In addition, 50-nm-thick SiO2 is deposited on top of the ITO to enhance the performance of emitter at high temperatures. High-temperature emissivity and absorptivity measurement of the emitter samples are obtained using FTIR and a Hitachi U-4100 spectrophotometer, respectively. The resultant SiO2/ITO/sapphire/stainless-steel planar emitter structure has selective emission with high emissivity of ∼0.8 in the 1–1.6 µm wavelength regime at 1000°C. This emission range lies at the bandgap edge of silicon TPV cells and thus can be used to harness the true potential for making a low-cost thermophotovoltaic system.
- Published
- 2020
45. FinFET-based Monolithic 3D+ with RRAM Array and Computing in Memory SRAM for Intelligent IoT Chip Application
- Author
-
Wei-Hao Chen, Tung-Ying Hsieh, Bo-Yuan Chen, Fu-Kuo Hsueh, Jia-Min Shieh, Meng-Fan Chang, Hsiu-Chih Chen, Wen-Hsien Huang, Chih-Chao Yang, Hsiao-Yun Chiu, Kai-Shin Li, Chang-Hong Shen, K. C. Hsu, and Wen-Kuan Yeh
- Subjects
Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Chip ,Resistive random-access memory ,Threshold voltage ,Laser annealing ,chemistry ,Optoelectronics ,Field-effect transistor ,Static random-access memory ,business ,Internet of Things - Abstract
We report heterogeneously integrated sub-40nm epi-like monolithic 3DIC with vertical ReRAM and memory computing (NMC) circuit. High driving current multi-channel UTB-MOSFETs (3.3/1.4 mA$/\mu \mathrm {m}$ for N/P FETs) was realized by low thermal budget super-CMP-planarized visible laser-crystallized epi-like Si channel and CO 2 far-infrared laser annealing (CO 2 -FIR-LA) activation technologies that enable driving 20nm 4-layer vertical ReRAM (Set/Reset $ \lt 1.2\mathrm {V}/1.8\mathrm {V}$, 3-bits/cell). Furthermore, the ultra-low threshold voltage of NC-FinFETs and the differential output of SRAM readout enable 50 +% area reduction in the near-memory computing circuitry. The unique TSV-free monolithic $3\mathrm {D} ^{+}$ IC process provides the superiority in 3D hetero-integration to realize low cost, small footprint, fully functionalized 3D IoTs chip.
- Published
- 2018
46. A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support
- Author
-
Chang-Hong Shen, Akshay Krishna Ramanathan, Vijaykrishnan Narayanan, Jack Sampson, Fu-Kuo Hsueh, Jia-Min Shieh, Chih-Chao Yang, Srivatsa Srinivasa, Swaroop Ghosh, Sumeet Kumar Gupta, Wei-Hao Chen, Meng-Fan Marvin Chang, and Xueqing Li
- Subjects
Hardware_MEMORYSTRUCTURES ,Computer science ,business.industry ,020208 electrical & electronic engineering ,Transistor ,NAND gate ,02 engineering and technology ,020202 computer hardware & architecture ,law.invention ,Data access ,XNOR gate ,Robustness (computer science) ,law ,0202 electrical engineering, electronic engineering, information engineering ,Static random-access memory ,business ,Bitwise operation ,Computer hardware ,Efficient energy use - Abstract
We present a novel 3D-SRAM cell using a Monolithic 3D integration (M3D-IC) technology for realizing both robustness and In-memory Boolean logic compute support. The proposed two-layer design makes use of additional transistors over the SRAM layer to enable assist techniques as well as provide logic functions (such as AND/NAND, OR/NOR, XNOR/XOR) without degrading cell density. Through analysis, we provide insights into the benefits provided by three memory assist and two logic modes and evaluate the energy efficiency of our proposed design. Assist techniques improve SRAM read stability by 2.2x and increase the write margin by 17.6%, while staying within the SRAM footprint. By virtue of increased robustness, the cell enables seamless operation at lower supply voltages and thereby ensures energy efficiency. Energy Delay Product (EDP) reduces by 1.6x over standard 6T SRAM with a faster data access. Transistor placement and their biasing technique in layer-2 enables In-memory bitwise Boolean computation. When computing bulk In-memory operations, 6.5x energy savings is achieved as compared to computing outside the memory system.
- Published
- 2018
47. Selection Role of Metal Oxides into Transition Metal Dichalcogenide Monolayers by a Direct Selenization Process
- Author
-
Yu Chuan Shih, Wei Sheng Lin, Kuan Wei Chu, Feng-Chuan Chuang, Chia Wei Chen, Shao Hsin Lee, Chang Hong Shen, Jyun Hong Chen, Teng Yu Su, Bo Wei Wu, Yu Ze Chen, Henry Medina, Yu-Lun Chueh, Jian Hua Yang, Jia-Min Shieh, and Arumugam Manikandan
- Subjects
Materials science ,Oxide ,02 engineering and technology ,Substrate (electronics) ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Evaporation (deposition) ,Transition metal dichalcogenide monolayers ,0104 chemical sciences ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Transition metal ,Sputtering ,Tungsten diselenide ,General Materials Science ,Wafer ,0210 nano-technology - Abstract
Direct reduction of metal oxides into a few transition metal dichalcogenide (TMDCs) monolayers has been recently explored as an alternative method for large area and uniform deposition. However, not many studies have addressed the characteristics and requirement of the metal oxides into TMDCs by the selenization/sulfurization processes, yielding a wide range of outstanding properties to poor electrical characteristics with nonuniform films. The large difference implies that the process is yet not fully understood. In particular, the selenization/sulfurization at low temperature leads to poor crystallinity films with poor electrical performance, hindering its practical development. A common approach to improve the quality of the selenized/sulfurized films is by further increasing the process temperature, thus requiring additional transfer in order to explore the electrical properties. Here, we show that by finely tuning the quality of the predeposited oxide the selenization/sulfurization temperature can be largely decreased, avoiding major substrate damage and allowing direct device fabrication. The direct relationship between the role of selecting different metal oxides prepared by e-beam evaporation and reactive sputtering and their oxygen deficiency/vacancy leading to quality influence of TMDCs was investigated in detail. Because of its outstanding physical properties, the formation of tungsten diselenide (WSe2) from the reduction of tungsten oxide (WO x) was chosen as a model for proof of concept. By optimizing the process parameters and the selection of metal oxides, layered WSe2 films with controlled atomic thickness can be demonstrated. Interestingly, the domain size and electrical properties of the layered WSe2 films are highly affected by the quality of the metal oxides, for which the layered WSe2 film with small domains exhibits a metallic behavior and the layered WSe2 films with larger domains provides clear semiconducting behavior. Finally, an 8'' wafer scale-layered WSe2 film was demonstrated, giving a step forward in the development of 2D TMDC electronics in the industry.
- Published
- 2018
48. HIT Solar Cell Performance Enhancement with Luminescent Down Shifting Phenomenon
- Author
-
Hao-Ming Chou, Ming-Hsuan Kao, Yi-Shiuan Lin, Chang-Hong Shen, Albert Lin, Jia-Min Shieh, Tzu-Yu Chen, Parag Parashar, Hao-Chung Kuo, Chien-Chung Lin, Chien-Yao Huang, Peichen Yu, and Shih-Wei Chen
- Subjects
Physics ,Photon ,business.industry ,Photon counting ,law.invention ,Solar cell efficiency ,law ,Solar cell ,Optoelectronics ,Quantum efficiency ,Luminescence ,business ,Performance enhancement ,Plasmon - Abstract
Luminescent down-shifting (LDS) phenomenon has been employed to enhance the HIT solar cell efficiency. The efficiency and J SC are increased by 2.3% and 11.5% respectively. External quantum efficiency (EQE) data supports our claim.
- Published
- 2018
49. TSV-free FinFET-based Monolithic 3D+-IC with computing-in-memory SRAM cell for intelligent IoT devices
- Author
-
Guo-Wei Huang, Kun-Ming Chen, Meng-Fan Chang, Srivatsa Srinivasa, Wen-Hsien Huang, Vijaykrishnan Narayanan, Kang-Lung Wang, K. C. Hsu, Bo-Yuan Chen, Fu-Kuo Hsueh, Jia-Min Shieh, Ying-Tsan Tang, Chang-Hong Shen, Wei-Hao Chen, Hochul Lee, Nicholas Jao, Chih-Chao Yang, Hsiu-Chih Chen, Albert Lee, Hsiao-Yun Chiu, and Wen-Kuan Yeh
- Subjects
010302 applied physics ,Materials science ,business.industry ,020208 electrical & electronic engineering ,Transistor ,Stacking ,Three-dimensional integrated circuit ,NAND gate ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,01 natural sciences ,law.invention ,XNOR gate ,law ,Logic gate ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Overhead (computing) ,business ,Electronic circuit - Abstract
This paper presents the first monolithic 3D vertical cross-tier computing-in-memory (CIM) SRAM cell fabricated using low cost TSV-free FinFET-based 3D+-IC technology. The 9T 3D CIM SRAM cell is able to compute NAND/AND, OR/NOR and XOR/XNOR operations within a single memory cycle. We fabricated stackable multi-fin single-grained Si FinFET using low thermal-budget CO 2 far-infrared laser annealing (FIR-LA) for activation and self-aligned silicide. The proposed device achieved high Ion (320 μA/μm (n-FET) and 275 μA/μm (p-FET)) and high I on /I off (>107). The proposed scheme enables the fabrication of energy and area efficient circuits for cost-aware intelligent IoT devices. For proposed 9T CIM SRAM cell, the monolithic 3D device reduces area overhead by 51%, compared to the 2D version, thanks to the stacking of three additional transistors above the 6T SRAM cell.
- Published
- 2017
50. Minimized program disturb for vertically stacked junctionless charge-trapping flash memory devices by adopting in-situ doped poly-silicon channel
- Author
-
Po-Hao Chen, Chien-Pang Huang, Kuei-Shu Chang-Liao, Jia-Min Shieh, Chang-Hong Shen, Dong-Yan Li, Chun-Yuan Chen, and Hsin-Kai Fang
- Subjects
Hardware_MEMORYSTRUCTURES ,Materials science ,Silicon ,business.industry ,Doping ,chemistry.chemical_element ,Trapping ,engineering.material ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Flash memory ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Flash (photography) ,Polycrystalline silicon ,chemistry ,engineering ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Communication channel ,Voltage - Abstract
Display Omitted In-situ doped poly-Si on vertically stacked JL flash memory devices are studied.Devices with in-situ doped (ISD) poly-Si channel show a large disturb-free window.Fast programming/erasing speeds, good retention and endurance are obtained.ISD poly-Si is promising for future three-dimensional CT flash device. Effects of in-situ doped (ISD) polycrystalline silicon (poly-Si), which serves as the source, drain and channel, on vertically stacked junctionless (JL) charge-trapping (CT) flash memory devices are investigated for the first time. The vertically stacked JL devices formed by ISD poly-Si channel show minimized program disturb with a large disturb-free window of 4.5V even when a low program-inhibit voltage (Vinhibit) of 6V is applied. It can be attributed to the fast programming speed of ISD JL device. Fast programming/erasing (P/E) speeds, good retention and endurance characteristics are also obtained. Therefore, ISD poly-Si is promising for future three-dimensional (3D) CT flash integration.
- Published
- 2015
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.