Search

Your search keyword '"Voelskow, M."' showing total 49 results

Search Constraints

Start Over You searched for: Author "Voelskow, M." Remove constraint Author: "Voelskow, M." Database Academic Search Index Remove constraint Database: Academic Search Index
49 results on '"Voelskow, M."'

Search Results

1. Diffusion of In Atoms in SiO2 Films Implanted with As+ Ions.

2. Diffusion and Interaction of In and As Implanted into SiO2 Films.

3. Ion-beam synthesis of InSb nanocrystals in the buried SiO layer of a silicon-on-insulator structure.

4. Formation of dendritic crystal structures in thin silicon films on silicon dioxide by carbon ion implantation and high intensity large area flash lamp irradiation.

5. Epitaxial 3C-SiC nanocrystal formation at the SiO2/Si interface after carbon implantation and subsequent annealing in CO atmosphere

6. Endotaxial growth of InSb nanocrystals at the bonding interface of the In+ and Sb+ ion implanted SOI structure

7. Nanometer-thick SGOI structures produced by Ge+ ion implantation of SiO2 films and subsequent hydrogen transfer of Si layers

8. Growth and electrical properties of the (Si/Ge)-on-insulator structures formed by ion implantation and subsequent hydrogen-assisted transfer.

9. Behavior of germanium ion-implanted into SiO2 near the bonding interface of a silicon-on-insulator structure.

10. High-temperature high-dose implantation of N[sup +] and Al[sup +] ions in 6H–SiC.

11. Controlling blue-violet electroluminescence of Ge-rich Er-doped SiO2 layers by millisecond annealing using flash lamps.

12. Epitaxial 3C-SiC nanocrystal formation at the SiO2/Si interface by combined carbon implantation and annealing in CO atmosphere.

13. Influence of annealing on the Er luminescence in Si-rich SiO2 layers coimplanted with Er ions.

14. Process control and melt depth homogenization for SiC-on-Si structures during flash lamp annealing by carbon implantation.

15. Modeling and regrowth mechanisms of flash lamp processing of SiC-on-silicon heterostructures.

16. Defect-engineered blue-violet electroluminescence from Ge nanocrystal rich SiO2 layers by Er doping.

17. Crystallization induced by thermal annealing with millisecond pulses in silicon-on-insulator films implanted with high doses of hydrogen ions.

18. Modelling of flash-lamp-induced crystallization of amorphous silicon thin films on glass

19. Regrowth mechanisms in flash lamp processing of heteroepitaxial SiC on silicon substrates

20. High-fluence Ga-implanted silicon—The effect of annealing and cover layers.

21. Enhanced blue-violet emission by inverse energy transfer to the Ge-related oxygen deficiency centers via Er3+ ions in metal-oxide semiconductor structures.

22. The effect of rare-earth clustering on charge trapping and electroluminescence in rare-earth implanted metal-oxide-semiconductor light-emitting devices.

23. Heavily Ga-doped germanium layers produced by ion implantation and flash lamp annealing: Structure and electrical activation.

24. Anomalous wear-out phenomena of europium-implanted light emitters based on a metal-oxide-semiconductor structure.

25. The role of Ge-related oxygen-deficiency centers in controlling the blue-violet photo- and electroluminescence in Ge-rich SiO2 via Er doping.

26. Analysis of wafer stresses during millisecond thermal processing.

27. Layer morphology and Al implant profiles after annealing of supersaturated, single-crystalline, amorphous, and nanocrystalline SiC.

28. Electron Paramagnetic Resonance in Ge/Si Heterostructures with Mn-Doped Quantum Dots.

29. XAFS Spectroscopy Study of Microstructure and Electronic Structure of Heterosystems Containing Si/GeMn Quantum Dots.

30. Comparison of the room temperature 1.53 μm Er photoluminescence from flash lamp and furnace annealed Er-doped Ge-rich SiO2 layers.

31. Specific features of the ion-beam synthesis of Ge nanocrystals in SiO thin films.

32. Negative Magneto- and Electroresistance of Silicon Films with Superconducting Nanoprecipitates: The Role of Inelastic Cotunneling.

33. Electroluminescent properties of Tb-doped carbon-enriched silicon oxide.

34. Conductivity type and crystal orientation of GaAs nanocrystals fabricated in silicon by ion implantation and flash lamp annealing.

35. Silicon films with gallium-rich nanoinclusions: from superconductor to insulator.

36. Superconductor-insulator transition controlled by annealing in Ga implanted Si.

37. Superconducting Ga-overdoped Ge layers capped with SÍO2: Structural and transport investigations.

38. Superconducting films fabricated by high-fluence Ga implantation in Si.

39. Microstructure analysis at the interface of Er decorated Ge nanocrystals in SiO2.

40. Flash-lamp annealing of semiconductor materials—Applications and process models

41. Ion implantation-induced damage depth profile determination in SiC by means of RBS/C and bevelling technique

42. Advanced thermal processing of semiconductor materials in the millisecond range

43. Photoluminescence from Cadmium Sulfide Nanoclusters Formed in the Matrix of a Langmuir–Blodgett Film.

44. Properties of Ge Nanocrystals Formed by Implantation of Ge[sup +] Ions into SiO[sub 2] Films with Subsequent Annealing under Hydrostatic Pressure.

45. Annealing studies of Al-implanted 6H-SiC in an induction furnace

46. Micro-Raman and ion channeling study of crystal damage in Si induced by focused Co ion beam implantation.

47. Formation of Photoluminescence Centers During Annealing of SiO[sub 2] Layers Implanted with Ge Ions.

48. On-chip superconductivity via gallium overdoping of silicon.

49. Investigating the role of hydrogen in indium oxide tubular nanostructures as a donor or oxygen vacancy passivation center.

Catalog

Books, media, physical & digital resources