Back to Search Start Over

Unifying Static and Dynamic Intermediate Languages for Accelerator Generators

Authors :
Kim, Caleb
Li, Pai
Mohan, Anshuman
Butt, Andrew
Sampson, Adrian
Nigam, Rachit
Publication Year :
2023

Abstract

Compilers for accelerator design languages (ADLs) translate high-level languages into application-specific hardware. ADL compilers rely on a hardware control interface to compose hardware units. There are two choices: static control, which relies on cycle-level timing; or dynamic control, which uses explicit signalling to avoid depending on timing details. Static control is efficient but brittle; dynamic control incurs hardware costs to support compositional reasoning. Piezo is an ADL compiler that unifies static and dynamic control in a single intermediate language (IL). Its key insight is that the IL's static fragment is a refinement of its dynamic fragment: static code admits a subset of the run-time behaviors of the dynamic equivalent. Piezo can optimize code by combining facts from static and dynamic submodules, and it opportunistically converts code from dynamic to static control styles. We implement Piezo as an extension to an existing dynamic ADL compiler, Calyx. We use Piezo to implement an MLIR frontend, a systolic array generator, and a packet-scheduling hardware generator to demonstrate its optimizations and the static-dynamic interactions it enables.<br />Comment: 12 pages, 9 figures

Details

Database :
arXiv
Publication Type :
Report
Accession number :
edsarx.2312.16300
Document Type :
Working Paper