Back to Search Start Over

Reliability-driven pin assignment optimization to improve in-orbit soft-error rate

Authors :
Antoine Touboul
J.L. Autran
F. Saigne
Frédéric Wrobel
Vincent Pouget
Paul Leroux
Y. Q. Aguiar
Institut d’Electronique et des Systèmes (IES)
Université de Montpellier (UM)-Centre National de la Recherche Scientifique (CNRS)
Radiations et composants (RADIAC)
Université de Montpellier (UM)-Centre National de la Recherche Scientifique (CNRS)-Université de Montpellier (UM)-Centre National de la Recherche Scientifique (CNRS)
Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP)
Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)
Catholic University of Leuven - Katholieke Universiteit Leuven (KU Leuven)
Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU)
Source :
Microelectronics Reliability, Microelectronics Reliability, 2020, 114, pp.113885. ⟨10.1016/j.microrel.2020.113885⟩, Microelectronics Reliability, Elsevier, 2020, 114, pp.113885. ⟨10.1016/j.microrel.2020.113885⟩
Publication Year :
2020
Publisher :
HAL CCSD, 2020.

Abstract

International audience; Electronics are increasingly susceptible to energetic particle interactions within the silicon. In order to improve the circuit reliability under radiation effects, several hardening techniques have been adopted in the design flow of VLSI systems. This paper proposes a pin assignment optimization in logic gates to reduce the Single-Event Transient (SET) cross-section and improve the in-orbit soft-error rate. Signal probability propagation is used to assign the lowest probability to the most sensitive input combination of the circuit by rewiring or pin swapping. The cell optimization can reach up to 48% reduction on the soft-error rate. For the analyzed arithmetic benchmark circuits, an optimized cell netlist can achieve from 8% to 28% reduction on the SET crosssection and in-orbit soft-error rate at no cost in the circuit design area. Additionally, as the pin swapping is a layout-friendly technique, the optimization does not impact on the cell placement and it can be adopted along with other hardening techniques in the logic and physical synthesis.

Details

Language :
English
ISSN :
00262714
Database :
OpenAIRE
Journal :
Microelectronics Reliability, Microelectronics Reliability, 2020, 114, pp.113885. ⟨10.1016/j.microrel.2020.113885⟩, Microelectronics Reliability, Elsevier, 2020, 114, pp.113885. ⟨10.1016/j.microrel.2020.113885⟩
Accession number :
edsair.doi.dedup.....58c608961a6e8f35e02d9881774b2846