Back to Search Start Over

On logic synthesis for timing speculation.

Authors :
Liu, Yuxi
Ye, Rong
Yuan, Feng
Kumar, Rakesh
Xu, Qiang
Source :
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD); 1/ 1/2012, p591-596, 6p
Publication Year :
2012

Abstract

By allowing the occurrence of infrequent timing errors and correcting them with rollback mechanisms, the so-called timing speculation (TS) technique can significantly improve circuit energy-efficiency and hence has become one of the most promising solutions to mitigate the ever-increasing variation effects in nanometer technologies. As timing error recovery incurs non-trivial performance/energy overhead, it is important to reshape the delay distribution of critical paths in timing-speculated circuits to minimize their timing error rates. Most existing TS optimization techniques achieve this objective with post-synthesis techniques such as gate sizing or body biasing. In this work, we propose to conduct logic synthesis for timing-speculated circuits from the ground up. Being able to manipulate circuit structures during logic optimization, the proposed solution is able to dramatically reduce circuit timing error rates and hence improve its throughput, as demonstrated with experimental results on various benchmark circuits. [ABSTRACT FROM PUBLISHER]

Details

Language :
English
ISBNs :
9781450315739
Database :
Complementary Index
Journal :
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
Publication Type :
Conference
Accession number :
86576498