Back to Search Start Over

MZZ-HVS: Multiple Sleep Modes Zig-Zag Horizontal and Vertical Sleep Transistor Sharing to Reduce Leakage Power in On-Chip SRAM Peripheral Circuits.

Authors :
Homayoun, Houman
Sasan, Avesta
Veidenbaum, Alexander V.
Yao, Hsin-Cheng
Golshan, Shahin
Heydari, Payam
Source :
IEEE Transactions on Very Large Scale Integration (VLSI) Systems; Dec2011, Vol. 19 Issue 12, p2303-2316, 14p
Publication Year :
2011

Abstract

Recent studies show that peripheral circuit (including decoders, wordline drivers, input and output drivers) constitutes a large portion of the cache leakage. In addition, as technology migrates to smaller geometries, leakage contribution to total power consumption increases faster than dynamic power, indicating that leakage will be a major contributor to overall power consumption. This paper presents zig-zag share, a circuit technique to reduce leakage in SRAM peripherals by putting them into low-leakage power sleep mode. The zig-zag share circuit is further extended to enable multiple sleep modes for cache peripherals. Each mode represents a trade-off between leakage reduction and the wakeup delay. Using architectural control of multiple sleep modes, an integrated technique called MSleep-Share is proposed and applied in L1 and L2 caches. MSleep-share relies on cache miss information to guide leakage control mechanism and switch peripheral circuit's power mode. The results show leakage reduction by up to 40\times in deeply pipelined SRAM peripheral circuits, with small area overhead and small additional delay. This noticeable leakage reduction translates to up to 85% overall leakage reduction in on-chip memories. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
10638210
Volume :
19
Issue :
12
Database :
Complementary Index
Journal :
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Publication Type :
Academic Journal
Accession number :
66905639
Full Text :
https://doi.org/10.1109/TVLSI.2010.2086500