Back to Search Start Over

Multilevel Full-Chip Routing With Testability and Yield Enhancement.

Authors :
Li, Katherine Shu-Min
Yao-Wen Chang
Chung-Len Lee
Chauchin Su
Chen, Jwu E.
Source :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems; Sep2007, Vol. 26 Issue 9, p1625-1636, 12p, 5 Black and White Photographs, 1 Diagram, 7 Charts, 2 Graphs
Publication Year :
2007

Abstract

We propose a multilevel full-chip routing algorithm that improves testability and diagnosability, manufacturability, and signal integrity for yield enhancement. Two major issues are addressed. 1) The oscillation ring test (ORT) and its diagnosis scheme for interconnects based on the popular IEEE Standard 1500 are integrated into the multilevel routing framework to achieve testability enhancement. We augment the traditional multilevel framework of coarsening and uncoarsening by introducing a preprocessing stage that analyzes the interconnect structure for better resource estimation before the coarsening stage, and a final stage after uncoarsening that improves testability to achieve 100% interconnect fault coverage and maximal diagnosability. 2) We present a heuristic to reduce routing congestion to optimize the multiple-fault probability, chemical-mechanical polishing- and optical proximity correction-induced manufacturability, and crosstalk effects, for yield improvement. Experimental results on the Microelectronics Center for North Carolina benchmark circuits show that the proposed ORT method achieves 100% fault coverage and the optimal diagnosis resolution for interconnects. Further, the multilevel routing algorithm effectively balances the routing density to achieve 100% routing completion. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
02780070
Volume :
26
Issue :
9
Database :
Complementary Index
Journal :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems
Publication Type :
Academic Journal
Accession number :
26479648
Full Text :
https://doi.org/10.1109/TCAD.2007.895587