Back to Search Start Over

RisCO2: Implementation and Performance Evaluation of RISC-V Processors for Low-Power CO 2 Concentration Sensing.

Authors :
Núñez-Prieto, Ricardo
Castells-Rufas, David
Terés-Terés, Lluís
Source :
Micromachines; Jul2023, Vol. 14 Issue 7, p1371, 14p
Publication Year :
2023

Abstract

In the field of embedded systems, energy efficiency is a critical requirement, particularly for battery-powered devices. RISC-V processors have gained popularity due to their flexibility and open-source nature, making them an attractive choice for embedded applications. However, not all RISC-V processors are equally energy-efficient, and evaluating their performance in specific use cases is essential. This paper presents RisCO2, an RISC-V implementation optimized for energy efficiency. It evaluates its performance compared to other RISC-V processors in terms of resource utilization and energy consumption in a signal processing application for nondispersive infrared (NDIR) CO<subscript>2</subscript> sensors.The processors were implemented in the PULPino SoC and synthesized using Vivado IDE. RisCO2 is based on the RV32E_Zfinx instruction set and was designed from scratch by the authors specifically for low-power signal demodulation in CO<subscript>2</subscript> NDIR sensors. The other processors are Ri5cy, Micro-riscy, and Zero-riscy, developed by the PULP team, and CV32E40P (derived from Ri5cy) from the OpenHW Group, all of them widely used in the RISC-V community. Our experiments showed that RisCO2 had the lowest energy consumption among the five processors, with a 53.5% reduction in energy consumption compared to CV32E40P and a 94.8% reduction compared to Micro-riscy. Additionally, RisCO2 had the lowest FPGA resource utilization compared to the best-performing processors, CV32E40P and Ri5cy, with a 46.1% and a 59% reduction in LUTs, respectively. Our findings suggest that RisCO2 is a highly energy-efficient RISC-V processor for NDIR CO<subscript>2</subscript> sensors that require signal demodulation to enhance the accuracy of the measurements. The results also highlight the importance of evaluating processors in specific use cases to identify the most energy-efficient option. This paper provides valuable insights for designers of energy-efficient embedded systems using RISC-V processors. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
2072666X
Volume :
14
Issue :
7
Database :
Complementary Index
Journal :
Micromachines
Publication Type :
Academic Journal
Accession number :
169332205
Full Text :
https://doi.org/10.3390/mi14071371