Back to Search Start Over

A survey of techniques for architecting TLBs.

Authors :
Mittal, Sparsh
Source :
Concurrency & Computation: Practice & Experience; 5/25/2017, Vol. 29 Issue 10, pn/a-N.PAG, 23p
Publication Year :
2017

Abstract

Translation lookaside buffer (TLB) caches virtual to physical address translation information and is used in systems ranging from embedded devices to high-end servers. Because TLB is accessed very frequently and a TLB miss is extremely costly, prudent management of TLB is important for improving performance and energy efficiency of processors. In this paper, we present a survey of techniques for architecting and managing TLBs. We characterize the techniques across several dimensions to highlight their similarities and distinctions. We believe that this paper will be useful for chip designers, computer architects, and system engineers. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
15320626
Volume :
29
Issue :
10
Database :
Complementary Index
Journal :
Concurrency & Computation: Practice & Experience
Publication Type :
Academic Journal
Accession number :
122539161
Full Text :
https://doi.org/10.1002/cpe.4061