Back to Search Start Over

On reducing load/store latencies of cache accesses

Authors :
Hwang, Yuan-Shin
Li, Jia-Jhe
Source :
Journal of Systems Architecture. Jan2010, Vol. 56 Issue 1, p1-15. 15p.
Publication Year :
2010

Abstract

Abstract: Effective address calculations for load and store instructions need to compete for ALU with other instructions and hence extra latencies might be incurred to data cache accesses. Fast address generation is an approach proposed to reduce cache access latencies. This paper presents a fast address generator that can eliminate most of the effective address computations by storing computed effective addresses of previous load/store instructions in a dummy register file. Experimental results show that this fast address generator can reduce effective address computations of load and store instructions by about 74% on average for SPECint2000 benchmarks and cut the execution times by 8.5%. Furthermore, when multiple dummy register files are deployed, this fast address generator eliminates over 90% of effective address computations of load and store instructions and improves the average execution times by 9.3%. [Copyright &y& Elsevier]

Details

Language :
English
ISSN :
13837621
Volume :
56
Issue :
1
Database :
Academic Search Index
Journal :
Journal of Systems Architecture
Publication Type :
Academic Journal
Accession number :
47466923
Full Text :
https://doi.org/10.1016/j.sysarc.2009.10.001