Search

Your search keyword '"high speed"' showing total 2,379 results

Search Constraints

Start Over You searched for: Descriptor "high speed" Remove constraint Descriptor: "high speed"
2,379 results on '"high speed"'

Search Results

1. Review on High-Speed Dynamic Comparators for Analog to Digital Converters.

2. An exploration of the super-critical speed dynamic behaviours of the pantograph-catenary system.

3. Development of a chamber model considering non-uniform pressure distribution for twin-screw compressors.

4. Optimal Realization of Distributed Arithmetic-Based MAC Adaptive FIR Filter Architecture Incorporating Radix-4 and Radix-8 Computation.

5. Real-time monitoring of fast gas dynamics with a single-molecule resolution by frequency-comb-referenced plasmonic phase spectroscopy.

6. RUMO À CLASSIFICAÇÃO DE EMBARCAÇÕES REGIONAIS DE ALTA VELOCIDADE COMUNS NA AMAZÔNIA BRASILEIRA.

7. Design and Analysis of Low Power and High-Speed Dynamic Comparator with Transconductance Enhanced in Latching Stage for ADC Application.

8. Magneto-mechanical design of a high-speed machine for aeronautics.

9. High‐Speed Short Infrared Detector Based on Vertical Gr/Se0.2Te0.8/GaAs Heterojunction.

10. Normal-Incidence Germanium Photodetectors Integrated with Polymer Microlenses for Optical Fiber Communication Applications.

11. A high‐speed and power efficient CMOS dynamic comparator for data converter circuits.

12. p-Type Schottky Contacts for Graphene Adjustable-Barrier Phototransistors.

13. 高速実験によるトラクション特性の実験式の提案.

14. Real-time monitoring of fast gas dynamics with a single-molecule resolution by frequency-comb-referenced plasmonic phase spectroscopy

15. A Megaproject Odyssey: The Case of the Turin-Lyon High-Speed Railway Line

16. FLAMES—High Speed Flash-LiDAR CMOS Imager for Landing Missions

17. Low-Power High-Speed Modified Three-Stage Comparator with Low Kickback Noise

20. Research Progress of High Advance Ratio Implementation Method for High Speed Helicopters

21. Development of low‐torque‐ripple motor with high rotational speed to downsize electrical systems.

22. A Novel High-Speed Split-Gate Trench Carrier-Stored Trench-Gate Bipolar Transistor with Enhanced Short-Circuit Roughness.

23. A fast and energy-efficient hybrid 4–2 compressor for multiplication in nanotechnology.

24. Investigation of transient wheel-rail interaction and interface contact behaviour in movable-point crossing panel.

25. A Time-to-Digital Converter for Low-Power Consumption Single Slope Analog-to-Digital Converters in a High-Speed CMOS Image Sensor.

26. Development of a New Vertical Dynamic Model of a Rail Vehicle for the Analysis of Ride Comfort.

27. Low power high speed FPGA design of lossless medical image compression using optimal deep neural network.

28. EVALUATION OF THE STRENGTH OF R60 TYPE RAILS AGAINST LOADING HIGH-SPEED TRAINS.

32. Ni-Cr Based Self Lubricating Composite Performance for High Speed Engineering Application

33. Experiments and Study of a Low-Frequency, High-Speed Bi-Directional Piezoelectric Stick-Slip Actuator

34. Design and Optimization of a Bridgeless Rotor for Synchronous Reluctance Machines

35. Comparative Studies on Performances of Slotted and Slotless High-Speed PMBLDC Motors

36. AC Losses in Windings: Review and Comparison of Models With Application in Electric Machines

37. Designs of High-Speed Triple-Node-Upset Hardened Latch Based on Dual-Modular-Redundancy.

38. Optical Analysis of Ignition Sparks and Inflammation Using Background-Oriented Schlieren Technique.

39. Body Biasing Techniques for Dynamic Comparators: A Systematic Survey.

40. Performance improvement of twin screw refrigeration compressors for chillers by modifying the suction arrangement.

41. Experimental study on the use of the ARM Cortex M7 processor for measuring far-field blast waves.

42. Numerical Analysis of Molten Pool Dynamic Behaviors during High-Speed Oscillation Laser Welding with Aluminum Alloy.

43. Ni-Cr Based Self Lubricating Composite Performance for High Speed Engineering Application.

44. Enhanced centroid-based energy-efficient clustering routing protocol for serverless based wireless sensor networks

45. Research on a Wire Rope Breakage Detection Device for High-Speed Operation Based on the Multistage Excitation Principle.

46. Transient Hydraulic Performance of a Prototype Pump during Starting and Stopping Periods.

47. A New Design Technique for a High-Speed and High dV/dt Immunity Floating-Voltage Level Shifter.

48. High-Speed Virtual Flight Testing Platform for Performance Evaluation of Pitch Maneuvers.

49. High‐Speed Waveguide‐Integrated InSe Photodetector on SiN Photonics for Near‐Infrared Applications.

50. NiTi şekil hafızalı alaşımların frezelenmesinde kesici takım ve kesme hızının yüzey bütünlüğü ve fonksiyonel özellikler üzerine etkisi.

Catalog

Books, media, physical & digital resources