Search

Your search keyword '"Wafer-level packaging"' showing total 2,153 results

Search Constraints

Start Over You searched for: Descriptor "Wafer-level packaging" Remove constraint Descriptor: "Wafer-level packaging"
2,153 results on '"Wafer-level packaging"'

Search Results

1. Fabricating process of thin‐strain sensor by utilizing wafer‐level‐packaging techniques.

2. Cavity-depth Effect on 3D Wafer-level-packaged MEMS Resonators by Slide-film Damping.

4. Development and Characterization of Low Temperature Wafer-Level Vacuum Packaging Using Cu-Sn Bonding and Nanomultilayer Getter.

5. Development of a Reliable High-Performance WLP for a SAW Device.

6. Characterization of a Wafer-Level Packaged Au−Ru/AlCu Contact for Micro-Switches.

7. Exploring Ru Compatibility With Al-Ge Eutectic Wafer Bonding.

8. Double-Sided Process for MEMS SOI Sensors with Deep Vertical Thru-Wafer Interconnects

9. Wafer-Level Assembly of Physics Package for Chip-Scale Atomic Clocks.

10. Development and Characterization of Low Temperature Wafer-Level Vacuum Packaging Using Cu-Sn Bonding and Nanomultilayer Getter

11. High-temperature adaptive through-silicon via with pyrolyzed carbon via-sealing plates for packaging 3D carbon nanostructure-based devices fabricated using C-MEMS.

13. Development of a Reliable High-Performance WLP for a SAW Device

14. Microfabricated albedo insensitive sun position sensor system in silicon carbide with integrated 3D optics and CMOS electronics

15. Development of silicon wafer packaging technology for deep UV LED.

16. Silicon migration seal wafer‐level vacuum encapsulation.

17. 晶圆级封装中玻璃晶圆介电常数的提取.

18. Hybrid Rigid-Flexible Magnetoresistive Device Based on a Wafer Level Packaging Technology for Micrometric Proximity Measurements.

19. Integrated circuit (IC)‐embedded wafer‐level packaging technology for millimeter‐wave power ICs.

20. Development and Modeling of a Wafer-Level BCB Packaging Method for Capacitive RF MEMS Switches.

21. Microfabricated albedo insensitive sun position sensor system in silicon carbide with integrated 3D optics and CMOS electronics

22. Improved packaging techniques for LWIR microbolometers

23. Selection and Characterization of Photosensitive Polyimide for Fan-Out Wafer-Level Packaging

24. Vacuum packaged electromagnetic 2D scanning micromirror.

25. Metal‐bonding‐based hermetic wafer‐level MEMS packaging technology using in‐plane feedthrough: Hermeticity and high frequency characteristics of thick gold film feedthrough.

26. A Wideband mmWave Antenna in Fan-Out Wafer Level Packaging With Tall Vertical Interconnects for 5G Wireless Communication

27. Microfabricated albedo insensitive sun position sensor system in silicon carbide with integrated 3D optics and CMOS electronics.

28. Co-Design Method and Wafer-Level Packaging Technique of Thin-Film Flexible Antenna and Silicon CMOS Rectifier Chips for Wireless-Powered Neural Interface Systems

29. The Redistribution Layer-First Embedded Fan-Out Wafer Level Packaging for 2-D Ultrasonic Transducer Arrays

30. Development and Reliability Study of 3-D Wafer Level Packaging for SAW Filter Using Thin Film Capping

31. Warpage Simulation During Fan-Out Wafer-Level Packaging Process with Uncertainty of Material Properties

32. Wafer-Level Vacuum-Packaged Flexible and Bendable Micro Accelerometer.

33. Wafer-level Packaging, Equipment Made in House, and Heterogeneous Integration.

34. Wafer-Level Packaging Method for RF MEMS Applications Using Pre-Patterned BCB Polymer.

35. Low-Pressure Wafer-Level-Packaged Capacitive Accelerometers With High Dynamic Range and Wide Bandwidth Using Nano-Gap Sloped Electrode Design.

37. Development of silicon wafer packaging technology for deep UV LED

38. Silicon migration seal wafer‐level vacuum encapsulation

39. Reliability Simulation of Cu/Polymer interface in Fan-out Wafer Level Packaging

40. Experimental and theoretical investigation of bifurcated wafer warpage evolution in the wafer level packaging processes

41. Significant Die-Shift Reduction and μLED Integration Based on Die-First Fan-Out Wafer-Level Packaging for Flexible Hybrid Electronics

42. Viscoelastic Warpage Modeling of Fan-Out Wafer-Level Packaging During Wafer-Level Mold Cure Process

45. Investigation of Au/Si EutecticWafer Bonding for MEMS Accelerometers.

46. Ultraclean wafer-level vacuum-encapsulated silicon ring resonators for timing and frequency references.

47. Wafer-Level Vacuum Sealing for Packaging of Silicon Photonic MEMS

48. Wafer-Level Vacuum Sealing for Packaging of Silicon Photonic MEMS

49. Wafer-Level Vacuum Sealing for Packaging of Silicon Photonic MEMS

50. Wafer-Level Vacuum Sealing for Packaging of Silicon Photonic MEMS

Catalog

Books, media, physical & digital resources