347 results on '"Verheijen, M.A."'
Search Results
2. Microscopic studies of polycrystalline nanoparticle growth in free space
- Author
-
Mohan, A., Kaiser, M., Verheijen, M.A., Schropp, R.E.I., and Rath, J.K.
- Published
- 2017
- Full Text
- View/download PDF
3. Study of Surface Damage in Silicon by Irradiation with Focused Rubidium Ions
- Author
-
Xu, S., Li, Y., Verheijen, M.A., Kieft, E.R., Vredenbregt, E. J.D., Xu, S., Li, Y., Verheijen, M.A., Kieft, E.R., and Vredenbregt, E. J.D.
- Abstract
Cold atom ion sources have been developed and commercialized as alternative sources for focused ion beams (FIB). So far, applications and related research have not been widely reported. In this paper, a prototype rubidium FIB is used to study the irradiation damage of 8.5 keV beam energy Rb$^+$ ions on silicon to examine the suitability of rubidium for nanomachining applications. Transmission electron microscopy combined with energy dispersive X-ray spectroscopy is applied to silicon samples irradiated by different doses of rubidium ions. The experimental results show a duplex damage layer consisting of an outer layer of oxidation without Rb and an inner layer containing Rb mostly at the interface to the underlying Si substrate. The steady-state damage layer is measured to be $23.2(\pm 0.3)$ nm thick with a rubidium staining level of $7(\pm1)$ atomic percentage.
- Published
- 2023
4. Aging and passivation of magnetic properties in Co/Gd bilayers
- Author
-
Kools, T..J., van Hees, Y.L.W., Poissonnier, K., Li, P., Barcones Campo, B., Verheijen, M.A., Koopmans, B., Lavrijsen, R., Kools, T..J., van Hees, Y.L.W., Poissonnier, K., Li, P., Barcones Campo, B., Verheijen, M.A., Koopmans, B., and Lavrijsen, R.
- Abstract
Synthetic ferrimagnets based on Co and Gd bear promise for directly bridging the gap between volatile information in the photonic domain and non-volatile information in the magnetic domain, without the need for any intermediary electronic conversion. Specifically, these systems exhibit strong spin-orbit torque effects, fast domain wall motion and single-pulse all-optical switching of the magnetization. An important open challenge to bring these materials to the brink of applications is to achieve long-term stability of their magnetic properties. In this work, we address the time-evolution of the magnetic moment and compensation temperature of magnetron sputter grown Pt/Co/Gd trilayers with various capping layers. Over the course of three months, the net magnetic moment and compensation temperature change significantly, which we attribute to quenching of the Gd magnetization. We identify that intermixing of the capping layer and Gd is primarily responsible for this effect, which can be alleviated by choosing nitrides for capping as long as reduction of nitride to oxide is properly addressed. In short, this work provides an overview of the relevant aging effects that should be taken into account when designing synthetic ferrimagnets based on Co and Gd for spintronic applications., Synthetic ferrimagnets based on Co and Gd bear promise for directly bridging the gap between volatile information in the photonic domain and non-volatile information in the magnetic domain, without the need for any intermediary electronic conversion. Specifically, these systems exhibit strong spin-orbit torque effects, fast domain wall motion and single-pulse all-optical switching of the magnetization. An important open challenge to bring these materials to the brink of applications is to achieve long-term stability of their magnetic properties. In this work, we address the time-evolution of the magnetic moment and compensation temperature of magnetron sputter grown Pt/Co/Gd trilayers with various capping layers. Over the course of three months, the net magnetic moment and compensation temperature change significantly, which we attribute to quenching of the Gd magnetization. We identify that intermixing of the capping layer and Gd is primarily responsible for this effect, which can be alleviated by choosing nitrides for capping as long as reduction of nitride to oxide is properly addressed. In short, this work provides an overview of the relevant aging effects that should be taken into account when designing synthetic ferrimagnets based on Co and Gd for spintronic applications.
- Published
- 2023
5. Gas phase grown silicon germanium nanocrystals
- Author
-
Mohan, A., Tichelaar, F.D., Kaiser, M., Verheijen, M.A., Schropp, R.E.I., and Rath, J.K.
- Published
- 2016
- Full Text
- View/download PDF
6. Encapsulation method for atom probe tomography analysis of nanoparticles
- Author
-
Larson, D.J., Giddings, A.D., Wu, Y., Verheijen, M.A., Prosa, T.J., Roozeboom, F., Rice, K.P., Kessels, W.M.M., Geiser, B.P., and Kelly, T.F.
- Published
- 2015
- Full Text
- View/download PDF
7. ScAlN nanowires: A cathodoluminescence study
- Author
-
Bohnen, T., Yazdi, G.R., Yakimova, R., van Dreumel, G.W.G., Hageman, P.R., Vlieg, E., Algra, R.E., Verheijen, M.A., and Edgar, J.H.
- Published
- 2009
- Full Text
- View/download PDF
8. Efficient Light Emission from Hexagonal SiGe
- Author
-
Fadaly, E.M.T., Dijkstra, Alain, Suckert, J.R., Ziss, D., van Tilburg, M.A.J., Ren, Y., Mao, C., van Lange, V.T., Kölling, S., Verheijen, M.A., Busse, D., Rödl, C., Furthmüller, J., Bechstedt, F., Stangl, J., Finley, J.J., Botti, Silvana, Haverkort, J.E.M., Bakkers, E.P.A.M., Advanced Nanomaterials & Devices, Optics of hex-SiGe, NanoLab@TU/e, Applied Physics and Science Education, Semiconductor Nanostructures and Impurities, Photonics and Semiconductor Nanophysics, Plasma & Materials Processing, Atomic scale processing, and Center for Quantum Materials and Technology Eindhoven
- Abstract
Silicon and Germanium have an indirect band gap, which limits their use in optoelectronic devices. Here, we show that we can create a direct band gap in Si 1-x Ge x alloys by changing the crystal structure from cubic to hexagonal. DFT calculations predict a strong optical transition for 0.651-x Ge x alloys have been fabricated and efficient light emission has been observed.
- Published
- 2021
9. Towards a Hexagonal SiGe Semiconductor Laser
- Author
-
van Tilburg, M.A.J., Dijkstra, A., Fadaly, E.M.T., van Lange, V.T., Verheijen, M.A., Suckert, J.R., Rodl, C., Furthmuller, J., Bechstedt, F., Botti, S., Busse, D., Finley, J.J., Bakkers, E.P.A.M., Haverkort, J.E.M., Advanced Nanomaterials & Devices, Optics of hex-SiGe, Plasma & Materials Processing, Atomic scale processing, Center for Quantum Materials and Technology Eindhoven, and EIRES
- Abstract
Hexagonal SiGe is shown to feature a direct bandgap with a radiative strength comparable to InP. Surprisingly, it features a temperature independent emission strength, thus promising a silicon compatible laser tunable from 1.8 to 3.5μm.
- Published
- 2020
10. Electrical and structural characterization of PLD grown CeO 2–HfO 2 laminated high- k gate dielectrics
- Author
-
Karakaya, K., Barcones, B., Rittersma, Z.M., van Berkum, J.G.M., Verheijen, M.A., Rijnders, G., and Blank, D.H.A.
- Published
- 2006
- Full Text
- View/download PDF
11. Synthesis and Electronic Devices of III–V Nanowires
- Author
-
Helman, A., primary, Borgström, M.T., additional, van Weert, M., additional, Verheijen, M.A., additional, and Bakkers, E.P.A.M., additional
- Published
- 2008
- Full Text
- View/download PDF
12. Sunlight-Fueled, Low-Temperature Ru-Catalyzed Conversion of CO2 and H2 to CH4 with a High Photon-to-Methane Efficiency
- Author
-
Sastre, F., Versluis, C., Meulendijks, N.M.M., Rodriguez-Fernandez, J., Sweelssen, J., Elen, K., Bael, M.K. van, Hartog, T. den, Verheijen,. M.A., and Buskens, P.J.P.
- Subjects
TS - Technical Sciences ,Energy storage ,Industrial Innovation ,Catalysts ,Semiconductors ,Physical and chemical processes ,Solar radiation ,Nano Technology ,MAS - Materials Solutions - Abstract
Methane, which has a high energy storage density and is safely stored and transported in our existing infrastructure, can be produced through conversion of the undesired energy carrier H2 with CO2. Methane production with standard transition-metal catalysts requires high-temperature activation (300−500 °C). Alternatively, semiconductor metal oxide photocatalysts can be used, but they require high-intensity UV light. Here, we report a Ru metal catalyst that facilitates methanation below 250 °C using sunlight as an energy source. Although at low solar intensity (1 sun) the activity of the Ru catalyst is mainly attributed to thermal effects, we identified a large nonthermal contribution at slightly elevated intensities (5.7 and 8.5 sun) resulting in a high photon-to-methane efficiency of up to 55% over the whole solar spectrum. We attribute the excellent sunlight-harvesting ability of the catalyst and the high photon-to-methane efficiency to its UV−vis−NIR plasmonic absorption. Our highly efficient conversion of H2 to methane is a promising technology to simultaneously accelerate the energy transition and reduce CO2 emissions.
- Published
- 2019
13. Sol-gel coatings for optical and dielectric applications
- Author
-
Böhmer, M.R., primary, Balkenende, A.R., additional, Bernards, T.N.M., additional, Peeters, M.P.J., additional, van Bommel, M.J., additional, Boonekamp, E.P., additional, Verheijen, M.A., additional, Krings, L.H.M., additional, and Vroon, Z.A.E.P., additional
- Published
- 2001
- Full Text
- View/download PDF
14. Effects of crystalline regrowth on dopant profiles in preamorphized silicon
- Author
-
Hopstaken, M.J.P., Tamminga, Y., Verheijen, M.A., Duffy, R., Venezia, V.C., and Heringa, A.
- Published
- 2004
- Full Text
- View/download PDF
15. Chemical analysis of the interface between hybrid organic−inorganic perovskite and atomic layer deposited Al2O3
- Author
-
Koushik, D., van Hazendonk, Lotte, Zardetto, V., Vandalon, V., Verheijen, M.A., Kessels, W.M.M., Creatore, M., Koushik, D., van Hazendonk, Lotte, Zardetto, V., Vandalon, V., Verheijen, M.A., Kessels, W.M.M., and Creatore, M.
- Abstract
Ultrathin metal oxides prepared by atomic layer deposition (ALD) have gained utmost attention as moisture and thermal stress barrier layers in perovskite solar cells (PSCs). We have recently shown that 10 cycles of ALD Al2O3 deposited directly on top of the CH3NH3PbI3–xClx perovskite material, are effective in delivering a superior PSC performance with 18% efficiency (compared to 15% of the Al2O3-free cell) with a long-term humidity-stability of more than 60 days. Motivated by these results, the present contribution focuses on the chemical modification which the CH3NH3PbI3–xClx perovskite undergoes upon growth of ALD Al2O3. Specifically, we combine in situ Infrared (IR) spectroscopy studies during film growth, together with X-ray photoelectron spectroscopy (XPS) analysis of the ALD Al2O3/perovskite interface. The IR-active signature of the NH3+ stretching mode of the perovskite undergoes minimal changes upon exposure to ALD cycles, suggesting no diffusion of ALD precursor and co-reactant (Al(CH3)3 and H2O) into the bulk of the perovskite. However, by analyzing the difference between the IR spectra associated with the Al2O3 coated perovskite and the pristine perovskite, respectively, changes occurring at the surface of perovskite are monitored. The abstraction of either NH3 or CH3NH2 from the perovskite surface is observed as deduced by the development of negative N–H bands associated with its stretching and bending modes. The IR investigations are corroborated by XPS study, confirming the abstraction of CH3NH2 from the perovskite surface, whereas no oxidation of its inorganic framework is observed within the ALD window process investigated in this work. In parallel, the growth of ALD Al2O3 on perovskite is witnessed by the appearance of characteristic IR-active Al–O–Al phonon and (OH)–Al═O stretching modes. Based on the IR and XPS investigations, a plausible growth mechanism of ALD Al2O3 on top of perovskite is presented.
- Published
- 2019
16. Strain engineering in Ge/GeSn core/shell nanowires
- Author
-
Assali, S., Albani, M., Bergamaschini, R., Verheijen, M.A., Li, A., Kölling, S., Gagliano, L., Bakkers, E.P.A.M., Miglio, L., Assali, S., Albani, M., Bergamaschini, R., Verheijen, M.A., Li, A., Kölling, S., Gagliano, L., Bakkers, E.P.A.M., and Miglio, L.
- Abstract
Strain engineering in Sn-rich group IV semiconductors is a key enabling factor to exploit the direct bandgap at mid-infrared wavelengths. Here, we investigate the effect of strain on the growth of GeSn alloys in a Ge/GeSn core/shell nanowire geometry by controlling the Ge core diameter and correlating the results with theoretical strain calculations. Incorporation of the Sn content in the 10-20 at. % range is achieved with Ge core diameters ranging from 50 nm to 100 nm. While the smaller cores lead to the formation of a regular and homogeneous GeSn shell, larger cores lead to the formation of multifaceted sidewalls and broadened segregation domains, inducing the nucleation of defects. This behavior is rationalized in terms of the different residual strain, as obtained by realistic finite element method simulations. The extended analysis of the strain relaxation as a function of core and shell sizes, in comparison with the conventional planar geometry, provides a deeper understanding of the role of strain in the epitaxy of metastable GeSn semiconductors.
- Published
- 2019
17. Isotropic Atomic Layer Etching of ZnO on 2D and 3D substrates, using acetylacetone and O2 plasma
- Author
-
Mameli, A., Verheijen, M.A., Mackus, A.J.M., Kessels, W.M.M., and Roozeboom, F.
- Subjects
Chemistry Physics Materials ,Plasma etching ,Industrial Innovation ,Nanowires ,Atomic layer etching ,Isotropic removal ,ZnO - Abstract
Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE: ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE process for ZnO which is radical-driven and utilizes acetylacetone (Hacac) and O2 plasma as reactants. In situ spectroscopic ellipsometry measurements indicate self-limiting half-reactions with etch rates ranging from 0.5 to 1.3 Å/cycle at temperatures between 100 and 250 °C. The ALE process was demonstrated on planar and three-dimensional substrates consisting of a regular array of semiconductor nanowires (NWs) conformally covered using atomic layer deposition of ZnO. Transmission electron microscopy studies conducted on the ZnO-covered NWs before and after ALE proved the isotropic nature and the damage-free characteristics of the process. In situ infrared spectroscopy measurements were used to elucidate the self-limiting nature of the ALE half-reactions and the reaction mechanism. During the Hacac etching reaction that is assumed to produce Zn(acac)2, carbonaceous species adsorbed on the ZnO surface are suggested as the cause of the self-limiting behavior. The subsequent O2 plasma step resets the surface for the next ALE cycle. High etch selectivities (∼80:1) over SiO2 and HfO2 were demonstrated. Preliminary results indicate that the etching process can be extended to other oxides such as Al2O3.
- Published
- 2018
18. Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
- Author
-
Faraz, T., Knoops, H.C.M., Verheijen, M.A., Helvoirt, C.A.A. van, Karwal, S., Sharma, A., Beladiya, V., Szeghalmi, A., Hausmann, D.M., Henri, J., Creatore, M., Kessels, W.M.M., and Publica
- Abstract
Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing. Ion-surface interactions during plasma processing techniques can influence the properties of a growing film. In this work, we investigated the effects of controlling ion characteristics (energy, dose) on the properties of the aforementioned materials during plasma-enhanced atomic layer deposition (PEALD) on planar and 3D substrate topographies. We used a 200 mm remote PEALD system equipped with substrate biasing to control the energy and dose of ions by varying the magnitude and duration of the applied bias, respectively, during plasma exposure. Implementing substrate biasing in these forms enhanced PEALD process capability by providing two additional parameters for tuning a wide range of material properties. Below the regimes of ion-induced degradation, enhancing ion energies with substrate biasing during PEALD increased the refractive index and mass density of TiOx and HfOx and enabled control over their crystalline properties. PEALD of these oxides with substrate biasing at 150 °C led to the formation of crystalline material at the low temperature, which would otherwise yield amorphous films for deposition without biasing. Enhanced ion energies drastically reduced the resistivity of conductive TiNx and HfNx films. Furthermore, biasing during PEALD enabled the residual stress of these materials to be altered from tensile to compressive. The properties of SiOx were slightly improved whereas those of SiNx were degraded as a function of substrate biasing. PEALD on 3D trench nanostructures with biasing induced differing film properties at different regions of the 3D substrate. On the basis of the results presented herein, prospects afforded by the implementation of this technique during PEALD, such as enabling new routes for topographically selective deposition on 3D substrates, are discussed.
- Published
- 2018
19. Overpotential analysis of alkaline and acidic alcohol electrolysers and optimized membrane-electrode assemblies
- Author
-
Sapountzi, F.M., primary, Di Palma, V., additional, Zafeiropoulos, G., additional, Penchev, H., additional, Verheijen, M.A., additional, Creatore, M., additional, Ublekov, F., additional, Sinigersky, V., additional, Arnold Bik, W.M., additional, Fredriksson, H.O.A., additional, Tsampas, M.N., additional, and Niemantsverdriet, J.W., additional
- Published
- 2019
- Full Text
- View/download PDF
20. Atomic layer deposition of highly dispersed Pt nanoparticles on a high surface area electrode backbone for electrochemical promotion of catalysis
- Author
-
Hajar, Y., Di Palma, V., Kyriakou, V., Verheijen, M.A., Baranova, E.A., Vernoux, P., Kessels, W.M.M., Creatore, M., van de Sanden, M.C.M., and Tsampas, M.N.
- Published
- 2017
- Full Text
- View/download PDF
21. Low-temperature plasma-assisted atomic-layer-deposited SnO2 as an electron transport layer in planar Perovskite solar cells
- Author
-
Kuang, Y., Zardetto, V., van Gils, R.J., Karwal, S., Koushik, D., Verheijen, M.A., Black, L.E., Weijtens, C.H.L., Veenstra, Sjoerd C., Andriessen, H.A.J.M. (Ronn), Kessels, W.M.M., Creatore, M., Kuang, Y., Zardetto, V., van Gils, R.J., Karwal, S., Koushik, D., Verheijen, M.A., Black, L.E., Weijtens, C.H.L., Veenstra, Sjoerd C., Andriessen, H.A.J.M. (Ronn), Kessels, W.M.M., and Creatore, M.
- Abstract
In this work, we present an extensive characterization of plasma-assisted atomic-layer-deposited SnO2 layers, with the aim of identifying key material properties of SnO2 to serve as an efficient electron transport layer in perovskite solar cells (PSCs). Electrically resistive SnO2 films are fabricated at 50 °C, while a SnO2 film with a low electrical resistivity of 1.8 × 10−3Ω cm, a carrier density of 9.6 × 1019 cm−3, and a high mobility of 36.0 cm2 /V s is deposited at 200 °C. Ultraviolet photoelectron spectroscopy indicates a conduction band offset of ∼0.69 eV at the 50 °C SnO2/Cs0.05(MA0.17FA0.83) 0.95Pb-(I2.7Br0.3) interface. In contrast, a negligible conduction band offset is found between the 200 °C SnO2 and the perovskite. Surprisingly, comparable initial power conversion efficiencies (PCEs) of 17.5 and 17.8% are demonstrated for the champion cells using 15 nm thick SnO2 deposited at 50 and 200 °C, respectively. The latter gains in fill factor but loses in open-circuit voltage. Markedly, PSCs using the 200 °C compact SnO2 retain their initial performance at the maximum power point over 16 h under continuous one-sun illumination in inert atmosphere. Instead, the cell with the 50 °C SnO2 shows a decrease in PCE of approximately 50%.
- Published
- 2018
22. Dopant distribution in atomic layer deposited ZnO:Al films visualized by transmission electron microscopy and atom probe tomography
- Author
-
Wu, Y., Giddings, A. Devin, Verheijen, M.A., Macco, B., Prosa, T.J., Larson, D.J., Roozeboom, F., Kessels, W.M.M., Wu, Y., Giddings, A. Devin, Verheijen, M.A., Macco, B., Prosa, T.J., Larson, D.J., Roozeboom, F., and Kessels, W.M.M.
- Abstract
The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called "ALD supercycles" is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width-half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm-3 can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors.
- Published
- 2018
23. Surface fluorination of ALD TiO2 electron transport layer for efficient planar Perovskite solar cells
- Author
-
Zardetto, V., Di Giacomo, F., Lifka, H., Verheijen, M.A., Weijtens, C.H.L., Black, L.E., Veenstra, S., Kessels, W.M.M., Andriessen, R., Creatore, M., Zardetto, V., Di Giacomo, F., Lifka, H., Verheijen, M.A., Weijtens, C.H.L., Black, L.E., Veenstra, S., Kessels, W.M.M., Andriessen, R., and Creatore, M.
- Abstract
Perovskite solar cells (PSCs) are emerging among the photovoltaic (PV) technologies due to their high power conversion efficiency (PCE) in combination with potentially low cost manufacturing processing. In this contribution, the fabrication of efficient planar n-i-p PSCs by the modification of the electron transport layer (ETL) adopted as n-type contact is demonstrated. Specifically, a fluorine-based plasma treatment prior to perovskite deposition leads to surface fluorination of the TiO2 ETL. The presence of fluorine on the TiO2 surface drastically improves the adhesion between the ALD layer and the methylammonium lead iodide perovskite film, and leads to a more favourable energy band alignment, accompanied by a faster electron carrier extraction at the interface. As consequence of surface fluorination, we observe a significant reduction in the current density-voltage curve hysteresis with respect to the ALD based reference sample, as well as a remarkable improvement in power conversion efficiency from 4% up to a stable 14.8%.
- Published
- 2018
24. Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: Large area, thickness control and tuneable morphology
- Author
-
Sharma, A., Verheijen, M.A., Wu, L., Karwal, S., Vandalon, V., Knoops, H.C.M., Sundaram, R.S., Hofmann, J.P., Kessels, W.M.M., Bol, A.A., Sharma, A., Verheijen, M.A., Wu, L., Karwal, S., Vandalon, V., Knoops, H.C.M., Sundaram, R.S., Hofmann, J.P., Kessels, W.M.M., and Bol, A.A.
- Abstract
Low-temperature controllable synthesis of monolayer-to-multilayer thick MoS2 with tuneable morphology is demonstrated by using plasma enhanced atomic layer deposition (PEALD). The characteristic self-limiting ALD growth with a growth-per-cycle of 0.1 nm per cycle and digital thickness control down to a monolayer are observed with excellent wafer scale uniformity. The as-deposited films are found to be polycrystalline in nature showing the signature Raman and photoluminescence signals for the mono-to-few layered regime. Furthermore, a transformation in film morphology from in-plane to out-of-plane orientation of the 2-dimensional layers as a function of growth temperature is observed. An extensive study based on high-resolution transmission electron microscopy is presented to unravel the nucleation mechanism of MoS2 on SiO2/Si substrates at 450 °C. In addition, a model elucidating the film morphology transformation (at 450 °C) is hypothesized. Finally, the out-of-plane oriented films are demonstrated to outperform the in-plane oriented films in the hydrogen evolution reaction for water splitting applications.
- Published
- 2018
25. Flow cell coupled dynamic light scattering for real-time monitoring of nanoparticle size during liquid phase bottom-up synthesis
- Author
-
Meulendijks, N., van Ee, R., Stevens, R., Mourad, M., Verheijen, M.A., Kambly, N., Armenta, R., Buskens, P., Meulendijks, N., van Ee, R., Stevens, R., Mourad, M., Verheijen, M.A., Kambly, N., Armenta, R., and Buskens, P.
- Abstract
To tailor the properties of nanoparticles and nanocomposites, precise control over particle size is of vital importance. Real-time monitoring of particle size during bottom-up synthesis in liquids would allow a detailed study of particle nucleation and growth, which provides valuable insights in the mechanism of formation of the nanoparticles. Furthermore, it facilitates a rational scale-up, and would enable adequate intervention in the production process of nanoparticle dispersions to minimize the number of off-spec batches. Since real-time monitoring requires particle size measurements on dispersions in flow, conventional dynamic light scattering (DLS) techniques are not suited: they rely on single scattering and measure the Brownian motion of particles dispersed in a liquid. Here, we present a set-up that allows accurate measurements in real-time on flowing dispersions using a DLS technique based on modulated 3D cross-correlation. This technique uses two simultaneous light scattering experiments performed at the same scattering vector on the same sample volume in order to extract only the single scattering information common to both. We connected the reactor to a flow-cell in the DLS equipment using a tailor-made analysis loop, and successfully demonstrated the complete set-up through monitoring of the size of spherical silica nanoparticles during Stöber synthesis in a water-alcohol mixture starting from the molecular precursor tetraethyl orthosilicate.
- Published
- 2018
26. Area-selective atomic layer deposition: Role of surface chemistry
- Author
-
Mameli, A., Karasulu, B., Verheijen, M.A., Mackus, A.J.M., Kessels, W.M.M., Roozeboom, F., De Gendt, Stefan, Dendooven, Jolien, Roozeboom, Fred, Liu, Chanyuan, Elam, Jeffrey W., van der Straten, Oscar, Plasma & Materials Processing, Selective atomic-scale processing for nanoelectronics, Atomic scale processing, and Processing of low-dimensional nanomaterials
- Abstract
Area-selective atomic layer deposition is being considered as the next paradigm shift in device fabrication. Besides semiconductor manufacturing, area-selective ALD presents unique opportunities in catalysis and large-area electronics applications. In this contribution, the results of recently developed area-selective ALD processes for In2O3 and ZnO are revisited. These approaches rely on substratedependent nucleation that originates from chemoselective precursor adsorption. Here, we focus on the role of thermodynamics and kinetics of the surface reactions in enabling area-selective deposition. Thermodynamics and kinetics of reactions were calculated by density functional theory (DFT) methods. We believe that our findings can be valuable in understanding area-selective ALD processes at a molecular level, the mechanisms underpinning the chemoselective adsorption and thus in advancing the field.
- Published
- 2017
27. Light emission from direct bandgap hexagonal SiGe
- Author
-
Haverkort, J.E.M., primary, Ren, Y., additional, Dijkstra, A., additional, Fadaly, E., additional, Verheijen, M.A., additional, Reithmaier, G., additional, Busse, D., additional, Botti, S., additional, Finley, J. J., additional, and Bakkers, E.P.A.M., additional
- Published
- 2018
- Full Text
- View/download PDF
28. ALD of SrTiO3 and Pt for Pt/SrTiO3/Pt MIM Structures: Growth and Crystallization Study
- Author
-
Longo, V., Roozeboom, F., Kessels, W.M.M., Verheijen, M.A., Delabie, A., Plasma & Materials Processing, Atomic scale processing, and Processing of low-dimensional nanomaterials
- Subjects
Materials science ,Analytical chemistry ,Thermal treatment ,Microstructure ,law.invention ,chemistry.chemical_compound ,Electron diffraction ,chemistry ,Transmission electron microscopy ,law ,Strontium titanate ,Grain boundary ,Crystallization ,Thin film - Abstract
Metal-insulator-metal (MIM) structures with ultrahigh-k strontium titanate films (SrTiO3, STO) and Pt as the dielectric and electrode material, respectively, have been prepared by ALD. The MIM structures were prepared with near-stoichiometric and Sr-rich ([Sr]/([Sr]+[Ti]) = 0.54 and 0.63, respectively) with a thickness of 15 nm. The influence of the rapid thermal annealing step at 600 °C in flowing N2, required to crystallize the STO, on the crystalline microstructure of the Pt bottom electrode and of the STO films has been investigated. Transmission electron microscopy and electron diffraction analysis evidenced that the morphology of the Pt bottom electrode is influenced by thermal treatment. Locally, an epitaxial relation between the textured Pt and the STO film could be found. However, X-ray and electron diffraction analysis showed that the STO crystalline grains were mainly randomly oriented. Top view TEM analysis revealed that the near-stoichiometric STO thin films deposited on Pt have a crystallization behavior comparable to those deposited on Al2O3, with nano-crack formation at the grain boundaries and an average grain size of ~ 100 nm.
- Published
- 2013
- Full Text
- View/download PDF
29. Room Temperature Sensing of O2 and CO by Atomic Layer Deposition Prepared ZnO Films Coated with Pt Nanoparticles
- Author
-
Erkens, I.J.M., Blauw, M.A., Verheijen, M.A., Roozeboom, F., Kessels, W.M.M., Delabie, A., Plasma & Materials Processing, Atomic scale processing, and Processing of low-dimensional nanomaterials
- Subjects
Atomic layer deposition ,Materials science ,chemistry ,Temperature sensing ,Chemical engineering ,chemistry.chemical_element ,Nanotechnology ,Zinc ,Pt nanoparticles ,Electrochemistry ,Platinum ,Metal nanoparticles ,Deposition (law) - Abstract
Ultralow-power gas sensing devices need to operate without an energy consuming heater element. This requires the design of sensing devices that are so efficient that they can operate at room temperature (RT). Here, we report on the RT sensing performance of atomic layer deposition (ALD) prepared i-ZnO and Al-doped ZnO sensing devices. The sensitivity of these devices has been catalytically enhanced with ALD Pt nanoparticles (NPs). It was shown that the size distribution of the Pt NPs can be controlled by the number of Pt-ALD cycles. The Pt-enhanced sensing devices showed a reversible, proportional change in current response at RT upon exposure to O2 and CO. O2 could be detected, diluted in N2, down to 0.5%. CO could be detected, diluted in N2 in the presence of O2 and H2O, down to 20 ppm. Reference devices without Pt NPs showed no response, indicating the importance of the Pt NPs for the sensing mechanism.
- Published
- 2013
- Full Text
- View/download PDF
30. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
- Author
-
Macco, B., Knoops, H.C.M., Verheijen, M.A., Beyer, W., Creatore, M., Kessels, W.M.M., Macco, B., Knoops, H.C.M., Verheijen, M.A., Beyer, W., Creatore, M., and Kessels, W.M.M.
- Abstract
In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional doping by Al and B, and enables a high mobility value up to 47 cm2/Vs and a resistivity of 1.8 mΩcm. By proper choice of a deposition regime where there is a strong competition between film growth and film etching by the H2 plasma treatment, a strongly enhanced grain size and hence increased carrier mobility with respect to undoped ZnO can be obtained. The successful incorporation of a significant amount of H from the H2 plasma has been demonstrated, and insights into the mobility-limiting scatter mechanisms have been obtained from temperature-dependent Hall measurements. A comparison with conventional TCOs has been made in terms of optoelectronic properties, and it has been shown that high-mobility ZnO:H has potential for use in various configurations of silicon heterojunction solar cells and silicon-perovskite tandem cells.
- Published
- 2017
31. Plasma-assisted atomic layer deposition of HfNx: tailoring the film properties by the plasma gas composition
- Author
-
Karwal, S., Williams, B.L., Niemelä, J., Verheijen, M.A., Kessels, W.M.M., Creatore, M., Karwal, S., Williams, B.L., Niemelä, J., Verheijen, M.A., Kessels, W.M.M., and Creatore, M.
- Abstract
The authors synthesized HfNx (x ≥ 1) thin films by plasma-assisted atomic layer deposition at stage temperatures of 350–450 °C by using the high-thermal-stability CpHf(NMe2)3 monomer as Hf precursor and either H2 plasma or N2 plasma as coreactant. Most notably, the selection of the plasma gas composition enabled us to tune the film properties: films fabricated using N2 plasma led to the formation of highly resistive and amorphous Hf3N4 films (6 × 102 Ω cm), while the use of the strongly reducing H2 plasma generated conductive (resistivity of 6 × 10−1 Ω cm) films with the signature of the δ-HfN fcc crystal structure. Via x-ray photoelectron spectroscopy, the authors observed that the use of the H2 plasma facilitates the reduction of the oxidation state of Hf from Hf4+ to Hf3+. This result was corroborated by the simultaneous increase in the free carrier absorption observed in the infrared range via spectroscopic ellipsometry. The δ-HfNx films fabricated via the present route are promising as highly reflective back contacts for thin films solar cells, Cu diffusion barriers, and as a gate metal for metal–oxide–semiconductor capacitors, provided that the resistivity values can be further decreased by suppressing the formation of the resistive Hf2ON2 impurity phase and grain-boundary scattering of the charge carriers.
- Published
- 2017
32. Growth and optical properties of direct band gap Ge/Ge0.87SN0.13 Core/Shell nanowire arrays
- Author
-
Assali, S., Dijkstra, A., Li, A., Kölling, S., Verheijen, M.A., Gagliano, L., von den Driesch, N., Buca, D., Koenraad, P.M., Haverkort, J.E.M., Bakkers, E.P.A.M., Assali, S., Dijkstra, A., Li, A., Kölling, S., Verheijen, M.A., Gagliano, L., von den Driesch, N., Buca, D., Koenraad, P.M., Haverkort, J.E.M., and Bakkers, E.P.A.M.
- Abstract
Group IV semiconductor optoelectronic devices are now possible by using strain-free direct band gap GeSn alloys grown on a Ge/Si virtual substrate with Sn contents above 9%. Here, we demonstrate the growth of Ge/GeSn core/shell nanowire arrays with Sn incorporation up to 13% and without the formation of Sn clusters. The nanowire geometry promotes strain relaxation in the Ge0.87Sn0.13 shell and limits the formation of structural defects. This results in room-temperature photoluminescence centered at 0.465 eV and enhanced absorption above 98%. Therefore, direct band gap GeSn grown in a nanowire geometry holds promise as a low-cost and high-efficiency material for photodetectors operating in the short-wave infrared and thermal imaging devices
- Published
- 2017
33. Atomic layer deposition of in 2O 3: H from InCp and H 2O/O 2: Microstructure and isotope labeling studies
- Author
-
Wu, Y., Macco, B., Vanhemel, D., Kölling, S., Verheijen, M.A., Koenraad, P.M., Kessels, W.M.M., Roozeboom, F., Wu, Y., Macco, B., Vanhemel, D., Kölling, S., Verheijen, M.A., Koenraad, P.M., Kessels, W.M.M., and Roozeboom, F.
- Abstract
The atomic layer deposition (ALD) process of hydrogen-doped indium oxide (In2O3:H) using indium cyclopentadienyl (InCp) and both O2 and H2O as precursors is highly promising for the preparation of transparent conductive oxides. It yields a high growth per cycle (>0.1 nm), is viable at temperatures as low as 100 °C, and provides a record optoelectronic quality after postdeposition crystallization of the films ( ACS Appl. Mat. Interfaces, 2015, 7, 16723−16729, DOI: 10.1021/acsami.5b04420). Since both the dopant incorporation and the film microstructure play a key role in determining the optoelectronic properties, both the crystal growth and the incorporation of the hydrogen dopant during this ALD process are studied in this work. This has been done using transmission electron microscopy (TEM) and atom probe tomography (APT) in combination with deuterium isotope labeling. TEM studies show that an amorphous-to-crystalline phase transition occurs in the low-temperature regime (100–150 °C), which is accompanied by a strong decrease in carrier density and an increase in carrier mobility. At higher deposition temperatures (>200 °C), enhanced nucleation of crystals and the incorporation of carbon impurities lead to a reduced grain size and even an amorphous phase, respectively, resulting in a strong reduction in carrier mobility. APT studies on films grown with deuterated water show that the incorporated hydrogen mainly originates from the coreactant and not from the InCp precursor. In addition, it was established that the incorporation of hydrogen decreased from ∼4 atom % for amorphous growth to ∼2 atom % after the transition to crystalline film growth.
- Published
- 2017
34. Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
- Author
-
Thissen, N.F.W., Verheijen, M.A., Houben, R.G., van der Marel, C., Kessels, W.M.M., Bol, A.A., Thissen, N.F.W., Verheijen, M.A., Houben, R.G., van der Marel, C., Kessels, W.M.M., and Bol, A.A.
- Abstract
We have investigated the synthesis of single-walled carbon nanotubes (SWCNTs) employing Co3O4 films prepared by atomic layer deposition (ALD). These films dewet into Co catalyst nanoparticles in the furnace before starting CNT growth by chemical vapor deposition (CVD). The facile Co3O4 ALD process allows for excellent film thickness control and very reproducible growth of high quality SWCNTs even from ultrathin, single-digit cycle ALD films. We demonstrate CNT growth on planar and 3-dimensional geometries. A detailed study using a combination of Raman spectroscopy as well as scanning and transmission electron microscopy reveals that the density and diameter distribution of the catalyst particles and resulting CNTs can be controlled by the number of ALD cycles. Moreover, we demonstrate straightforward preparation of Fe/Co bimetallic catalysts by mixing ALD processes of Co3O4 and Fe2O3. Finally, the wide temperature window of Co3O4 ALD allows for patterning of the catalyst via standard electron-beam lithography, as the deposition temperature is low enough to prevent resist reflowing. We conclude that ALD is an ideal technique to deposit Co3O4 catalyst films for SWCNT synthesis in a well-controlled manner, with several advantages over other materials and deposition techniques.
- Published
- 2017
35. Boosting hole mobility in coherently strained [110]-oriented Ge-Si core-shell nanowires
- Author
-
Conesa-Boj, S., Li, A., Koelling, S., Brauns, M., Ridderbos, J., Nguyen, T.T., Verheijen, M.A., Koenraad, P.M., Zwanenburg, F.A., Bakkers, E.P.A.M., Conesa-Boj, S., Li, A., Koelling, S., Brauns, M., Ridderbos, J., Nguyen, T.T., Verheijen, M.A., Koenraad, P.M., Zwanenburg, F.A., and Bakkers, E.P.A.M.
- Abstract
The ability of core-shell nanowires to overcome existing limitations of heterostructures is one of the key ingredients for the design of next generation devices. This requires a detailed understanding of the mechanism for strain relaxation in these systems in order to eliminate strain-induced defect formation and thus to boost important electronic properties such as carrier mobility. Here we demonstrate how the hole mobility of [110]-oriented Ge-Si core-shell nanowires can be substantially enhanced thanks to the realization of large band offset and coherent strain in the system, reaching values as high as 4200 cm2/(Vs) at 4 K and 1600 cm2/(Vs) at room temperature for high hole densities of 1019 cm-3. We present a direct correlation of (i) mobility, (ii) crystal direction, (iii) diameter, and (iv) coherent strain, all of which are extracted in our work for individual nanowires. Our results imply [110]-oriented Ge-Si core-shell nanowires as a promising candidate for future electronic and quantum transport devices.
- Published
- 2017
36. Effective surface passivation of InP nanowires by atomic-layer-deposited Al2O3 with POx interlayer
- Author
-
Black, L.E., Cavalli, A., Verheijen, M.A., Haverkort, J.E.M., Bakkers, E.P.A.M., Kessels, W.M.M., Black, L.E., Cavalli, A., Verheijen, M.A., Haverkort, J.E.M., Bakkers, E.P.A.M., and Kessels, W.M.M.
- Abstract
III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a POx layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since POx is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al2O3 capping layer to form a POx/Al2O3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm-2), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surfac
- Published
- 2017
37. Improved structural and electrical properties in native Sb2Te3/GexSb2Te3+x van der Waals superlattices due to intermixing mitigation
- Author
-
Cecchi, S., Zallo, E., Momand, J., Wang, R., Kooi, B.J., Verheijen, M.A., Calarco, R., Cecchi, S., Zallo, E., Momand, J., Wang, R., Kooi, B.J., Verheijen, M.A., and Calarco, R.
- Abstract
Superlattices made of Sb2Te3/GeTe phase change materials have demonstrated outstanding performance with respect to GeSbTe alloys in memory applications. Recently, epitaxial Sb2Te3/GeTe superlattices were found to feature GexSb2Te3+x blocks as a result of intermixing between constituting layers. Here we present the epitaxy and characterization of Sb2Te3/GexSb2Te3+x van der Waals superlattices, where GexSb2Te3+x was intentionally fabricated. X-ray diffraction, Raman spectroscopy, scanning transmission electron microscopy, and lateral electrical transport data are reported. The intrinsic 2D nature of both sublayers is found to mitigate the intermixing in the structures, significantly improving the interface sharpness and ultimately the superlattice structural and electrical properties.
- Published
- 2017
38. Uniform atomic layer deposition of Al2O3 on graphene by reversible hydrogen plasma functionalization
- Author
-
Vervuurt, R.H.J., Karasulu, B., Verheijen, M.A., Kessels, W.M.M., Bol, A.A., Vervuurt, R.H.J., Karasulu, B., Verheijen, M.A., Kessels, W.M.M., and Bol, A.A.
- Abstract
A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD.
- Published
- 2017
39. Towards the implementation of atomic layer deposited In2O3: H in silicon heterojunction solar cells
- Author
-
Kuang, Y., Macco, B., Karasulu, B., Ande, C.K., Bronsveld, P.C.P., Verheijen, M.A., Wu, Y., Kessels, W.M.M., Schropp, R.E.I., Kuang, Y., Macco, B., Karasulu, B., Ande, C.K., Bronsveld, P.C.P., Verheijen, M.A., Wu, Y., Kessels, W.M.M., and Schropp, R.E.I.
- Abstract
Hydrogen doped indium oxide (In2O3:H) with excellent optoelectronic properties, deposited using atomic layer deposition (ALD), has been made applicable as a window electrode material for silicon heterojunction (SHJ) solar cells. It is particularly challenging to integrate ALD In2O3:H into SHJ solar cells due to a low reactivity of the metalorganic precursor cyclopentadienyl indium (InCp) with the H-terminated surface of a-Si:H. This challenge has been overcome by a simple and effective plasma-based surface pretreatment developed in this work. A remote inductively coupled O2 or Ar plasma has been used to modify the surface of a-Si:H, thereby promoting the adsorption of InCp on the surface. The impact of the short plasma exposure on c-Si/a-Si:H interface passivation has also been studied. It has been found that the observed degradation of the interface is not due to ion bombardment, but rather due to ultraviolet emission from the plasma. Fortunately, these light-induced defects have been found to be metastable, and the interface passivation can thus easily be fully recovered by a short post-annealing. Using such a mild Ar plasma pretreatment, ALD In2O3:H has been successfully implemented in a SHJ solar cell. A short-circuit current density of 40.1 mA/cm2, determined from external quantum efficiency, is demonstrated for a textured SHJ solar cell with an In2O3:H window electrode, compared to 38.5 mA/cm2 for a reference cell that has the conventional Sn-doped indium oxide (In2O3:Sn, ITO) window electrode. The enhanced photocurrent stems from a reduced parasitic absorption of In2O3:H in the entire wavelength range of 400–1200 nm.
- Published
- 2017
40. The influence of particle size distribution and shell imperfections on the Plasmon resonance of Au and Ag nanoshells
- Author
-
Mann, D., Nascimento-Duplat, D., Keul, H., Möller, M., Verheijen, M.A., Xu, M., Urbach, H.P., Adam, A.J.L., Buskens, P., Mann, D., Nascimento-Duplat, D., Keul, H., Möller, M., Verheijen, M.A., Xu, M., Urbach, H.P., Adam, A.J.L., and Buskens, P.
- Abstract
Au and Ag nanoshells are of interest for a wide range of applications. The plasmon resonance of such nanoshells is the property of interest and can be tuned in a broad spectral regime, ranging from the ultraviolet to the mid-infrared. To date, a large number of manuscripts have been published on the optics of such nanoshells. Few of these, however, address the effect of particle size distribution and metal shell imperfections on the plasmon resonance. Both are inherent to the chemical synthesis of metal nanoshells and therefore to a large extent unavoidable. It is of vital importance to understand their effect on the plasmon resonance, since this determines the scope and limitations of the technology and may have a direct impact on the application of such particles. Here, we elucidate the effect of particle size distribution and imperfections in the metal shell on the plasmon resonance of Au and Ag nanoshells. The size of the polystyrene core and the thickness of the Au and Ag shells are systematically varied to study their influence on the plasmon resonance, and the results are compared to values obtained through optical simulations using extended Mie theory and finite element method. Discrepancies between theory and practice are studied in detail and discussed extensively. Quantitative information on the minimum thickness of the metal shell, which is required to realize a satisfactory plasmon resonance of a metal nanoshell, is provided for Au and Ag.
- Published
- 2017
41. Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
- Author
-
Sharma, A., Longo, V., Verheijen, M.A., Bol, A.A., Kessels, W.M.M., Sharma, A., Longo, V., Verheijen, M.A., Bol, A.A., and Kessels, W.M.M.
- Abstract
HfO2 thin films were prepared by plasma-enhanced atomic layer deposition using a cyclopentadienyl-alkylamido precursor [HfCp(NMe2)3, HyALD™] and an O2 plasma over a temperature range of 150–400 °C at a growth per cycle around 1.1 Å/cycle. The high purity of the films was demonstrated by x-ray photoelectron spectroscopy and elastic recoil detection analyses which revealed that by increasing the deposition temperature from 200 to 400 °C, the atomic concentrations of residual carbon and hydrogen reduced from 1.0 to <0.5 at. % and 3.4 to 0.8 at. %, respectively. Moreover, Rutherford backscattering spectroscopy studies showed an improvement in stoichiometry of HfO2 thin films with the increase in deposition temperature, resulting in Hf/O ratio close to ∼0.5 at 400 °C. Furthermore, grazing incidence x-ray diffraction measurements detected a transition from amorphous at the deposition temperature of 300 °C to fully polycrystalline films at 400 °C, consisting of a mixture of monoclinic, tetragonal, and cubic phases. Finally, the surface morphology and conformality of HfO2 thin films studied by atomic force microscopy and transmission electron microscopy are also reported.
- Published
- 2017
42. Silicon heterojunction solar cell passivation in combination with nanocrystalline silicon oxide emitters
- Author
-
Gatz, H.A., Rath, J.K., Verheijen, M.A., Kessels, W.M.M., Schropp, R.E.I., Plasma & Materials Processing, Atomic scale processing, and Processing of low-dimensional nanomaterials
- Subjects
Nanocrystalline materials ,Passivation ,Silicon oxide ,Solar cells ,Silicon ,Heterojunctions - Abstract
Silicon heterojunction solar cells (SHJ) are well known for their high efficiencies, enabled by their remarkably high open-circuit voltages (VOC). A key factor in achieving these values is a good passivation of the crystalline wafer interface. One of the restrictions during SHJ solar cell production is the limitation to comparably low post processing temperatures due to the deteriorating effect of high temperatures on the passivation properties of passivating intrinsic amorphous silicon (a-Si:H(i)) layers combined with conventional boron-doped amorphous silicon (a-Si:H(p)) emitter layers. We present a boron-doped nanocrystalline silicon oxide (nc-SiOx:H(p)) material that exhibits superior annealing behaviour. Passivation stacks consisting of a-Si:H(i) and nc-SiOx:H(p) emitter layers show an increase in minority carrier lifetime with post deposition annealing temperatures ≤293°C. To our knowledge, there have been no earlier reports showing that annealing of complete passivation stacks including p-type silicon-based layer at such high temperatures is beneficial.
- Published
- 2016
43. Expanding thermal plasma deposition of Al-doped ZnO: On the effect of the plasma chemistry on film growth mechanisms
- Author
-
Williams, B.L., Ponomarev, M., Verheijen, M.A., Knoops, H.C.M., Duval, L.A.A., van de Sanden, M.C.M., Creatore, M., Plasma & Materials Processing, Interfaces in future energy technologies, and Atomic scale processing
- Subjects
modification ,diagnostics ,ComputingMilieux_LEGALASPECTSOFCOMPUTING ,deposition ,plasma ,polymers - Published
- 2016
44. The competing roles of i-ZnO in Cu(In,Ga)Se2 solar cells
- Author
-
Williams, B.L., Zardetto, V., Kniknie, B., Verheijen, M.A., Kessels, W.M.M., and Creatore, M.
- Subjects
TS - Technical Sciences ,Industrial Innovation ,ALD ,SCAPS ,TFT - Thin Film Technology ,i-ZnO ,Resistivity ,C-V ,Nano Technology ,CIGS ,Materials - Abstract
The electrical role of the highly resistive and transparent (HRT) i-ZnO layer in Cu(In, Ga)Se2(CIGS) solar cells is investigated. By tuning the resistivity of atomic layer deposited (ALD) i-ZnO through the use of post-growth O2-plasma treatments, it is shown that low i-ZnO carrier densities (i.e. high resistivities) actually restrict the performance of CIGS solar cells by reducing the extent of band-bending of the CdS/CIGS junction (the effect of series resistance is ruled out as the origin of any observed differences). This is the first evidence that i-ZnO has a negative electrical effect in CIGS solar cells (alongside the positive effect of shunt mitigation), and based on these results, attempts to maximise resistivity of the i-ZnO (typically sought-after for this HRT layer) are not recommended. Device efficiencies of 12.5% were obtained when using low resistivity as-grown ALD i-ZnO (resistivity, p=0.6 ohm cm, carrier density, n=3.5 . 10-18 cm-3, and work function, O=4.06 eV), but this decreased to 11.5% when using high resistivity, plasma-treated ALD i-ZnO (p=134 Ohm cm, n=0.2·10-18 cm-3, and O=4.21 eV). SCAPS modelling revealed the reason for the difference to be the effect that the i-ZnO work function (controlled by carrier density) has on the band-bending and built-in voltage, Vbi, of the main junction. Capacitance-voltage experiments confirmed that the Vbi is lower (V-bi~0.1 V) when using low carrier density, high resistivity i-ZnO. This general effect was also found when using RF-sputtered i-ZnO, whereby the inclusion of high resistivity i-ZnO similarly generated lower efficiencies (15.0%) than low resistivity i-ZnO (15.9%).
- Published
- 2016
45. Si interstitial contribution of [F.sup.+] implants in crystalline Si
- Author
-
Lopez, Pedro, Pejaz, Lourdes, Duffy, R., Meunjer-Beillard, P., van der Tak, K., Roozeboom, F., Breimer, P., van Berkum, J.G.M., Verheijen, M.A., and Kaiser, M.
- Subjects
Silicon compounds -- Thermal properties ,Iron compounds -- Thermal properties ,Physics - Abstract
The defects and B diffusion in various samples are measured to study the Si interstitial contribution of [F.sup.+] implants in crystalline Si. Short annealing times are shown to lead to reduction in B diffusion of the samples.
- Published
- 2008
46. Archival-overwrite performance of GeSnSb-based phase-change discs
- Author
-
van Pieterson, L., Hesselink, E.W., Rijpers, J.C.N., Kaiser, M., Verheijen, M.A., and Elfrink, R.
- Subjects
Physics - Abstract
Experiments on the archival-overwrite performance of GeSnSb phase-change discs are described. A mechanism explaining the poor archival-overwrite performance is proposed and it is found that this could be greatly improve by optimization of the phase-change material, based on reflectivity measurements and transmission electro microscopy (TEM) experiments.
- Published
- 2006
47. P-type nc-SiOx:H emitter layer for silicon heterojunction solar cells grown by RF-PECVD
- Author
-
Gatz, H.A., Kuang, Y., Verheijen, M.A., Rath, J.K., Kessels, W.M.M., Schropp, R.E.I., Hekmatshoar, B., Collins, R., Plasma & Materials Processing, Atomic scale processing, and Processing of low-dimensional nanomaterials
- Subjects
Amorphous silicon ,Materials science ,business.industry ,Nanocrystalline silicon ,Quantum dot solar cell ,Nanocrystalline material ,Polymer solar cell ,Monocrystalline silicon ,chemistry.chemical_compound ,chemistry ,Plasma-enhanced chemical vapor deposition ,Optoelectronics ,Crystalline silicon ,business - Abstract
Silicon heterojunction solar cells (SHJ) with thin intrinsic layers are well known for their high efficiencies. A promising way to further enhance their excellent characteristics is to enable more light to enter the crystalline silicon (c-Si) absorber of the cell while maintaining a simple cell configuration. Our approach is to replace the amorphous silicon (a-Si:H) emitter layer with a more transparent nanocrystalline silicon oxide (nc-SiOx:H) layer. In this work, we focus on optimizing the p-type nc-SiOx:H material properties, grown by radio frequency plasma enhanced chemical vapor deposition (rf PECVD), on an amorphous silicon layer.20 nm thick nanocrystalline layers were successfully grown on a 5 nm a-Si:H layer. The effect of different ratios of trimethylboron to silane gas flow rates on the material properties were investigated, yielding an optimized material with a conductivity in the lateral direction of 7.9×10-4 S/cm combined with a band gap of E04 = 2.33 eV. Despite its larger thickness as compared to a conventional window a-Si:H p-layer, the novel layer stack of a-Si:H(i)/nc-SiOx:H(p) shows significantly enhanced transmission compared to the stack with a conventional a-Si:H(p) emitter. Altogether, the chosen material exhibits promising characteristics for implementation in SHJ solar cells.
- Published
- 2015
48. Functional nickel-based deposits synthesized by focused beam induced processing
- Author
-
Cordoba Castillo, R.M., Barcones, B., Roelfsema, E., Verheijen, M.A., Mulders, J.J.L., Trompenaars, P.H.F., Koopmans, B., Cordoba Castillo, R.M., Barcones, B., Roelfsema, E., Verheijen, M.A., Mulders, J.J.L., Trompenaars, P.H.F., and Koopmans, B.
- Abstract
Functional nanostructures fabricated by focused electron/ion beam induced processing (FEBIP/FIBIP) open a promising route for applications in nanoelectronics. Such developments rely on the exploration of new advanced materials. We report here the successful fabrication of nickel-based deposits by FEBIP/FIBIP using bis(methyl cyclopentadienyl)nickel as a precursor. In particular, binary compounds such as nickel oxide (NiO) are synthesized by using an in situ two-step process at room temperature. By this method, as-grown Ni deposits transform into homogeneous NiO deposits using focused electron beam irradiation under O2 flux. This procedure is effective in producing highly pure NiO deposits with resistivity of 2000 Ωcm and a polycrystalline structure with face-centred cubic lattice and grains of 5 nm. We demonstrate that systems based on NiO deposits displaying resistance switching and an exchange-bias effect could be grown by FEBIP using optimized parameters. Our results provide a breakthrough towards using these techniques for the fabrication of functional nanodevices.
- Published
- 2016
49. Surface infrared spectroscopy during low temperature growth of supported Pt Nanoparticles by atomic layer deposition
- Author
-
Bosch, R.H.E.C., Bloksma, Frank L., Huijs, J.M.M., Verheijen, M.A., Kessels, W.M.M., Bosch, R.H.E.C., Bloksma, Frank L., Huijs, J.M.M., Verheijen, M.A., and Kessels, W.M.M.
- Abstract
The growth of supported Pt nanoparticles at room temperature employing a three-step atomic layer deposition (ALD) process, involving exposures to MeCpPtMe3, O2 plasma, and H2 plasma, has been investigated. From spectroscopic ellipsometry and transmission electron microscopy measurements it has been established that up to 300 cycles of ALD nanoparticles are formed by island formation and island growth. In situ infrared spectroscopy has been used to obtain more insight into the surface chemistry by determining which species are present at the surface during the different stages of nucleation as well as within one ALD cycle. After precursor exposure the surface is covered with a carbonaceous layer, originating from the precursor ligands or (de)hydrogenated fragments thereof. Also adsorbed CO is present, which is already formed in the preceding H2 plasma step. The O2 plasma removes both the carbonaceous layer and the CO. Furthermore, the surface region of the nanoparticle is oxidized by the O2 plasma; i.e., PtOx and Pt–OH are formed at the surface. The subsequent H2 plasma converts the PtOx back into Pt and removes the Pt–OH. The oxidizing and reducing properties of the O2 and H2 plasma have also been observed through changes in free-carrier absorption. Overall, the experiments resulted in a refined understanding of the reaction mechanism of Pt nanoparticles grown by ALD at room temperature.
- Published
- 2016
50. Atomic layer deposition of Pd and Pt nanoparticles for catalysis: on the mechanisms of nanoparticle formation
- Author
-
Mackus, A.J.M., Weber, M.J., Thissen, N.F.W., Garcia-Alonso Garcia, D., Vervuurt, R.H.J., Assali, S., Bol, A.A., Verheijen, M.A., Kessels, W.M.M., Mackus, A.J.M., Weber, M.J., Thissen, N.F.W., Garcia-Alonso Garcia, D., Vervuurt, R.H.J., Assali, S., Bol, A.A., Verheijen, M.A., and Kessels, W.M.M.
- Abstract
The deposition of Pd and Pt nanoparticles by atomic layer deposition (ALD) has been studied extensively in recent years for the synthesis of nanoparticles for catalysis. For these applications, it is essential to synthesize nanoparticles with well-defined sizes and a high density on large-surface-area supports. Although the potential of ALD for synthesizing active nanocatalysts for various chemical reactions has been demonstrated, insight into how to control the nanoparticle properties (i.e. size, composition) by choosing suitable processing conditions is lacking. Furthermore, there is little understanding of the reaction mechanisms during the nucleation stage of metal ALD. In this work, nanoparticles synthesized with four different ALD processes (two for Pd and two for Pt) were extensively studied by transmission electron spectroscopy. Using these datasets as a starting point, the growth characteristics and reaction mechanisms of Pd and Pt ALD relevant for the synthesis of nanoparticles are discussed. The results reveal that ALD allows for the preparation of particles with control of the particle size, although it is also shown that the particle size distribution is strongly dependent on the processing conditions. Moreover, this paper discusses the opportunities and limitations of the use of ALD in the synthesis of nanocatalysts.
- Published
- 2016
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.