59 results on '"Tuung Luoh"'
Search Results
2. Carbon Plug Application in 3D NAND Fabrication
- Author
-
Yu-Chih Chang, Liang-Yu Chen, Kuang-Wei Chen, Tuung Luoh, Ling-Wuu Yang, Ta-Hone Yang, and Kuang-Chao Chen
- Published
- 2022
3. Advanced CMP Process Control by Using Machine Learning Image Analysis
- Author
-
Chih-Chen Lin, Tuung Luoh, Min-Hsuan Hsu, Ling-Wuu Yang, Kuang-Chao Chen, Hsiang-Meng Yu, Tahone Yang, and Kuang-Wei Chen
- Subjects
Materials science ,business.industry ,Process (computing) ,Semiconductor device modeling ,NAND gate ,Polishing ,Hardware_PERFORMANCEANDRELIABILITY ,Edge (geometry) ,Machine learning ,computer.software_genre ,Grayscale ,Hardware_INTEGRATEDCIRCUITS ,Process control ,Wafer ,Artificial intelligence ,business ,computer - Abstract
Chemical-mechanical polishing closed loop control optimized process with machine learning assisted wafer image analysis algorithm implemented on the inter layer dielectric of 3D NAND ON stacking with poly-silicon stop layer is studied. The grayscale wafer image can be responded for film residue, stop layer damage, wafer edge damage, and thickness variation. Polishing five zones control model is trainned with wafer grayscale value by Python NN model with two hidden layers. The best condition of closed loop feedback control is deduced by machine learning assisted wafer image analysis algorithm.
- Published
- 2021
4. CMP Process Optimization Engineering by Machine Learning
- Author
-
Lin Chih-Chen, Chen Kuang-Chao, Yu Hsiang-Meng, Hsu Min-hsuan, Yang Tahone, Chen Kuang-Wei, Chen Yen-Ting, Yang Ling-Wu, and Tuung Luoh
- Subjects
Artificial neural network ,Page layout ,Computer science ,Chemical-mechanical planarization ,Process (computing) ,Process control ,Process optimization ,computer.software_genre ,Network topology ,Chip ,computer ,Simulation - Abstract
Advanced Chemical-mechanical polishing (CMP) process not only needs to maintain stable run-to-run thickness control but also achieve better within wafer/within chip planarization performance. Furthermore, slurries or other consumable parts, like PAD and Disks selection are also the keys for CMP process optimization. The most difficult thing in CMP process is to have capability to predict and cover the various topologies and layout densities patterned wafers and preventing the hot spots occurrences. In this study, different Neural-Network algorithm with data pre-processing models are implemented to the in-line CMP CLC tuning and dishing/erosion prediction at various topology/pattern density test vehicle pattern wafers. Transfer learning technique is implemented on the original Neural -Network algorithm model, the behavior of real product can be simulated and predicted based on the knowledge of test vehicle database successfully. With the aid of multiple layer erosion/ dishing Neural-Network algorithm model prediction, the potential high risky hot spots can be highlighted at the initial layout design stage, then further shorten the turn-around time of design layout validation.
- Published
- 2020
5. Improving the endurance of nonvolatile flash memory using micro-grain poly-silicon floating gate
- Author
-
Tuung Luoh, Ling-Wu Yang, Tahone Yang, Kuang-Chao Chen, and Chih-Yuan Lu
- Subjects
Flash memory -- Quality management ,Polysilicon -- Usage ,Flash memory ,Business ,Computers ,Electronics ,Electronics and electrical industries - Published
- 2010
6. A novel trapping-nitride-storage non-volatile memory cell using a gated-diode structure with an ultra-thin dielectric dopant diffusion barrier
- Author
-
Wen-Jer Tsai, Tien-Fan Ou, Hsuan-Ling Kao, Erh-Kun Lai, Jyun-Siang Huang, Lit-Ho Chong, Yi-Ying Liao, Shih-Ping Hong, Ming-Tsung Wu, Shih-Chang Tsai, Chia-Hao Leng, Fang-Hao Hsu, Szu-Yu Wang, Chun-Ming Cheng, Tuung Luoh, Yung-Tai Hung, Shing-Ann Luo, Chih-Hao Huang, Tao-Cheng Lu, Tahone Yang, Kuang-Chao Chen, and Chih-Yuan Lu
- Subjects
Gate arrays -- Analysis ,Nitrides -- Electric properties ,Field programmable gate array ,Business ,Electronics ,Electronics and electrical industries - Abstract
A novel trapping-nitride-storage nonvolatile memory cell is designed by using a gated-diode structure. A low-bandgap material is used for enhancing the sensing current along with the preferred device structure.
- Published
- 2008
7. ANYSYS chip-level and wafer-level simulation on semiconductor process development — Yu-Chih Chang
- Author
-
Yung-Tai Hung, Tahone Yang, Chi-Min Chen, Tuung Luoh, and Kuang-Chao Chen
- Subjects
Engineering ,business.industry ,Semiconductor device fabrication ,New product development ,Semiconductor device modeling ,Mechanical engineering ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,Wafer ,Chip ,business ,Finite element method ,Stress concentration ,Leakage (electronics) - Abstract
Most of simulation activities implemented on semiconductor manufacturing are focus on the device characteristics, and electrical properties. Less investigation pays attention on micro-structure stress/strain calculation with finite element analysis. This investigation demonstrates the ANSYS simulation results match with real cases results. The chip-level micro-structure simulations include the metal grain size behavior influence the BEOL leakage electrical properties; different design layout causes stress concentration issue, and different collapsed behavior induced by surface tension after wet strip. The wafer-level macro-structure simulation demonstrates that different pattern density of design will affect bow height performance in whole wafer. By means of ANSYS analysis, we can achieve more experiments or DOE splits by perdition simulation instead of real wafers and experiments. Thus, we can save the cost and achieve the time-to market requirement during product development.
- Published
- 2017
8. Tungsten corrosion and recess improvement by feasible slurry and clean chemical in WCMP process
- Author
-
Tahone Yang, Kuang-Chao Chen, Kuang-Wei Chen, Ling-Wuu Yang, Yung-Tai Hung, Tuung Luoh, and Chun-Fu Chen
- Subjects
Materials science ,020209 energy ,Metallurgy ,chemistry.chemical_element ,Polishing ,02 engineering and technology ,Tungsten ,Corrosion ,law.invention ,Metal ,chemistry ,law ,visual_art ,Chemical-mechanical planarization ,0202 electrical engineering, electronic engineering, information engineering ,visual_art.visual_art_medium ,Slurry ,Spark plug ,Shrinkage - Abstract
Serious tungsten corrosion after tungsten chemical mechanical planarization (W CMP) is found to correlate to the high via resistance. According to via design layout analysis, the W plug recess is strongly dependent on the underlying metal line area and via hole size. The via W plug recess becomes worse as via size shrinkage and underlying metal line area increasing. The low corrosion W polishing slurry, alkali /acidic buffing slurry and clean chemical are studied. The result indicates that low corrosion W slurry and acidic clean chemical can suppress tungsten corrosion and result in tightened via resistance distribution in 1× nm device product.
- Published
- 2017
9. Overlay degradation induced by film stress
- Author
-
Yung-Tai Hung, Shing-Ann Luo, Elvis Yang, Chi-hao Huang, T. H. Yang, Yu-Lin Liu, K. C. Chen, Mars Yang, and Tuung Luoh
- Subjects
Materials science ,business.industry ,02 engineering and technology ,Overlay ,engineering.material ,021001 nanoscience & nanotechnology ,01 natural sciences ,Die (integrated circuit) ,010309 optics ,Stress (mechanics) ,Coating ,Etching (microfabrication) ,Plasma-enhanced chemical vapor deposition ,0103 physical sciences ,Computer data storage ,Hardware_INTEGRATEDCIRCUITS ,engineering ,Optoelectronics ,Wafer ,0210 nano-technology ,business - Abstract
The semiconductor industry has continually sought the approaches to produce memory devices with increased memory cells per memory die. One way to meet the increasing storage capacity demand and reduce bit cost of NAND flash memories is 3D stacked flash cell array. In constructing 3D NAND flash memories, increasing the number of stacked layers to build more memory cell number per unit area necessitates many high-aspect-ratio etching processes accordingly the incorporation of thick and unique etching hard-mask scheme has been indispensable. However, the ever increasingly thick requirement on etching hard-mask has made the hard-mask film stress control extremely important for maintaining good process qualities. The residual film stress alters the wafer shape consequently several process impacts have been readily observed across wafer, such as wafer chucking error on scanner, film peeling, materials coating and baking defects, critical dimension (CD) non-uniformity and overlay degradation. This work investigates the overlay and residual order performance indicator (ROPI) degradation coupling with increasingly thick advanced patterning film (APF) etching hard-mask. Various APF films deposited by plasma enhanced chemical vapor deposition (PECVD) method under different deposition temperatures, chemicals combinations, radio frequency powers and chamber pressures were carried out. And -342MPa to +80MPa film stress with different film thicknesses were generated for the overlay performance study. The results revealed the overlay degradation doesn’t directly correlate with convex or concave wafer shapes but the magnitude of residual APF film stress, while increasing the APF thickness will worsen the overlay performance and ROPI strongly. High-stress APF film was also observed to enhance the scanner chucking difference and lead to more serious wafer to wafer overlay variation. To reduce the overlay degradation from ever increasingly thick APF etching hard-mask, optimizing the film stress of APF is the most effective way and high order overlay compensation is also helpful.
- Published
- 2017
10. Smart Review Sampling Methodology in Huge Inspection Results
- Author
-
Ling Wu Yang, Chi-Min Chen, Tahone Yang, Rong Lv, Chih-Yuan Lu, Hsiang-Chou Liao, Tuung Luoh, and Kuang Chao Chen
- Subjects
Engineering ,business.industry ,Data mining ,business ,computer.software_genre ,Sampling methodology ,computer ,humanities - Abstract
The defect inspection results for advanced technology nodes have extremely high defect counts frequently. Therefore, the defect review Pareto get high false rate due to the SEM non-visual defects, nuisance defects, or dummy fill patterns by traditional review sampling methodology. An integrated smart review sampling methodology is proposed to resolve the high false rate issue and dig out the DOIs and POIs effectively in huge big inspection results with aid of design data base.
- Published
- 2014
11. Systematic Hot Spots Finding By Pattern Search with Similarity
- Author
-
Rong Lv, Tahone Yang, Kuang-Chao Chen, Chih-Yuan Lu, Ling-Wu Yang, Tuung Luoh, Hsiang-Chou Liao, and Chi-Min Chen
- Subjects
Similarity (network science) ,business.industry ,Pattern recognition ,Artificial intelligence ,business ,Pattern search ,Geology - Abstract
As device rule shrinks, the finding of systematic hot spots is no longer just relied on design rule checking (DRC) and lithography rule checking (LRC). There still have some unexpected systematic hot spots impact the yield of production. Using image contour extraction technique to extract the images of issued failure sites into unit clipped patterns and then do the patterns search with a similarity algorithm. Transfer these specific pattern search care areas into an in-line inspection recipe, and then prevent the failure issues happening again. Through regrouping the seed patterns after hot scan on focus exposure matrix (FEM) or process window qualification (PWQ) wafers, the exactly or similar pattern search of these seed patterns can help us to find out the unknown risky similar pattern of interests.
- Published
- 2014
12. Advanced Inspection Technique for High Aspect Ratio Contact Holes Using e Beam Scan and Voltage Cap in SEM Review
- Author
-
Ling-Wu Yang, Chih-Yuan Lu, Tahone Yang, Kuang-Chao Chen, Hsiang-Chou Liao, Tuung Luoh, and Che-Lun Hung
- Subjects
Engineering ,Optics ,business.industry ,Electron beam processing ,business ,Voltage - Abstract
The inspection strategy and technique of high aspect ratio contact modules are demonstrated in this paper. Pre-scan with dark or bright field inspection then reviewing by Vcap condition at contact opening layer is to confirm the HAR contact patterning performance. e beam inspection with feasible conditions right after plug CMP is to monitor the whole contact module and the plugs fill-in performance.
- Published
- 2012
13. Process Variation Improvement and Stress Analysis of Contact Module
- Author
-
Ling-Wu Yang, Kuang-Chao Chen, Po-Chou Chen, Tahone Yang, Chih-Yuan Lu, Hsiang-Chou Liao, and Tuung Luoh
- Subjects
Process variation ,Stress (mechanics) ,Materials science ,Composite material - Abstract
Process window simulation results are implemented into a yield drop case induced by ILD contact module process shift. Signatures of blind voltage contrast and ILD thickness variation coincide with failure map of wafer sort. Lower down the edge pressure of ILD CMP and increase the O2 flow ratio in BPSG SACVD process can well-control the ILD CMP thickness variation within 500A, and get more uniform dopant distribution in ILD layer. Yield is back to normal after processes optimization.
- Published
- 2012
14. Process Optimization of Contact Module in NOR Flash Using High Resolution e-Beam Inspection
- Author
-
Ling-Wu Yang, Chih-Yuan Lu, Tahone Yang, Hsiang C. Liao, Kuang-Chao Chen, Tuung Luoh, and Che L. Hung
- Subjects
Flash (photography) ,Computer science ,Electron beam processing ,Electronic engineering ,High resolution ,Process optimization - Abstract
The inspection sensitivities and capture rate capabilities of high resolution e-beam inspection system with extracting and retarding mode are evaluated. E-beam with retarding mode inspection demonstrates better performance and reflects the wafer sort yield loss in contact failure items directly. After the contact module process optimization, the yield was improved almost two times above.
- Published
- 2011
15. Verification of Systematic Defects Using e-Beam Defect Review System
- Author
-
Tahone Yang, Kuang-Chao Chen, Chih-Yuan Lu, Ling-Wu Yang, and Tuung Luoh
- Subjects
Materials science ,Optics ,business.industry ,Electron beam processing ,business - Abstract
High resolution e-beam review system with critical point inspection (CPI) function is implemented to verify the systematic defects in both array and periphery area of NOR Flash products. CPI inspection can help us to intercept with unobvious systematic defects and find the process variation to save the cost of yield loss from time to time, and it is important for debugging the systematic defects in irregularly periphery circuits.
- Published
- 2011
16. Improving the Endurance of Nonvolatile Flash Memory Using Micro-Grain Poly-Silicon Floating Gate
- Author
-
Kuang-Chao Chen, Tuung Luoh, Chih-Yuan Lu, Ling-Wu Yang, and Tahone Yang
- Subjects
Amorphous silicon ,Materials science ,Silicon ,Annealing (metallurgy) ,business.industry ,Electrical engineering ,TheoryofComputation_GENERAL ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Chemical vapor deposition ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Flash memory ,Grain size ,Electronic, Optical and Magnetic Materials ,Non-volatile memory ,chemistry.chemical_compound ,chemistry ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Grain boundary ,Electrical and Electronic Engineering ,business - Abstract
This paper applied a grain-refinement technique to develop an alternative poly-silicon floating gate. Micro-grain poly-silicon grains were refined by using a single-wafer LPCVD processor and adding hydrogen. The SIMS analysis of micro-grain poly-silicon films revealed that hydrogen contributed to poly-silicon formation but was not incorporated into the poly-silicon films. The grain size and dopant concentration of poly-silicon affected oxide integrity. The grain size of micro-grain poly-silicon was well controlled under 15 nm by annealing with 950°C /30s N2. A 100 k-cycle erase-program endurance test of a single-wafer LPCVD processor confirmed that the micro-grain floating gate poly-silicon with hydrogen had better endurance compared to conventional furnace poly-silicon.
- Published
- 2010
17. Improvement of the properties and electrical performance on TiCl4-based TiN film using sequential flow chemical vapor deposition process
- Author
-
Ming-Da Cheng, Chin-Ta Su, Tuung Luoh, Chih-Yuan Lu, Ta-Hung Yang, and Kuang-Chao Chen
- Subjects
Diffusion barrier ,Ion plating ,Metals and Alloys ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Chemical vapor deposition ,Combustion chemical vapor deposition ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Atomic layer deposition ,chemistry ,Materials Chemistry ,Metalorganic vapour phase epitaxy ,Thin film ,Tin - Abstract
Sequential flow chemical vapor deposition (SFCVD), utilizing TiCl 4 /NH 3 as reactants and immediate NH 3 treatment after film deposition, is applied to produce TiN barrier films in the contact process. Secondary ion mass spectroscopy results indicate that the SFCVD TiN film can effectively block the diffusion of WF 6 into the underlying Ti layer during W deposition. NH 3 treatment immediately after film deposition causes SFCVD TiN films to be less contaminated with carbon than TiN films that are formed by metallic organic compounds chemical vapor deposition (MOCVD) and to contain less chlorine residue than conventional TiCl 4 /NH 3 CVD TiN layers even at a low reaction temperature. According to the resistance measurement of Kelvin contacts, the SFCVD process yields a lower resistance and a more uniform distribution than the MOCVD or CVD process. Transmission electron microscopic observations demonstrate that WF 6 can diffuse through the MOCVD TiN to react with the underlying Ti layer, causing a rupture at the Ti/TiN interface and poor W adhesion. The SFCVD TiN can serve as a sufficient diffusion barrier against WF 6 penetration during W CVD deposition.
- Published
- 2010
18. Inter-metal inorganic spin-on-glass dielectric layer in 100 nm generation technology
- Author
-
Tuung Luoh, Chih-Yuan Lu, Chin Ta Su, Kuang Chao Chen, Ta Hung Yang, and Ming Da Cheng
- Subjects
Materials science ,Metals and Alloys ,Oxide ,Nanotechnology ,Surfaces and Interfaces ,Chemical vapor deposition ,Dielectric ,Microstructure ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Chemical-mechanical planarization ,Materials Chemistry ,Wafer ,Metallizing ,Thin film ,Composite material - Abstract
This study investigates inter-metal dielectric (IMD) layer with a perhydro-polysilazane-based inorganic spin-on-glass (PSZ–SOG) dielectric layer in 100 nm node multilevel metallization technology. When the PSZ–SOG film is cured in N2 ambient, the via resistance is worsened; the via resistance declines as the number of wafers in sequence increases because the SOG film tends to adsorb a large amount of moisture and forms a porous IMD film structure. However, when it is cured in O2 ambient, optimization by via adhesion degassing can minimize the slot effect and yield good via resistance. In this study, the non-etchback PSZ–SOG process is integrated by using 100 nm multilevel metallization technology without an expensive high density plasma chemical vapor deposition (HDP CVD) oxide process or modification of design rules. After the SOG curing recipe and degassing condition in the via adhesion film deposition have been optimized, the PSZ–SOG exhibits excellent planarization, a dense IMD structure and good via resistance performance that is comparable with that of the traditional HDP CVD oxide process.
- Published
- 2008
19. A Novel Trapping-Nitride-Storage Non-Volatile Memory Cell Using a Gated-Diode Structure With an Ultra-Thin Dielectric Dopant Diffusion Barrier
- Author
-
T.C. Lu, Hsuan-Ling Kao, Shih-Ping Hong, Chih-Yuan Lu, Yung-Tai Hung, Erh-Kun Lai, Kuang-Chao Chen, Ming-Tsung Wu, Tien-Fan Ou, Ta-Hung Yang, Yi-Ying Liao, Chih-Hao Huang, Shih-Chang Tsai, Jyun-Siang Huang, Wen-Jer Tsai, C. C. Cheng, Tuung Luoh, Lit-Ho Chong, Shing-Ann Luo, Szu-Yu Wang, Fang-Hao Hsu, and Chia-Hao Leng
- Subjects
Materials science ,Diffusion barrier ,Dopant ,business.industry ,Electrical engineering ,Nitride ,Electronic, Optical and Magnetic Materials ,Non-volatile memory ,Semiconductor ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Quantum tunnelling ,Extrinsic semiconductor ,Diode - Abstract
A novel trapping-nitride-storage nonvolatile memory cell by using a gated-diode structure is proposed. An ultrathin nitride layer is introduced between the n-type and p-type regions of the diode. This layer acts as a dopant diffusion barrier that well defines the junction location. Meanwhile, it is thin enough that charge carriers can flow through it via direct tunneling at low field as being sensed. Good program/erase characteristics and acceptable reliability are presented. Finally, using a low-bandgap material to enhance the sensing current is suggested along with the preferred device structure.
- Published
- 2008
20. Advanced tungsten plug process for beyond nanometer technology
- Author
-
Tuung Luoh, Ta-Hung Yang, Chih-Yuan Lu, Kuang-Chao Chen, and Chin-Ta Su
- Subjects
Materials science ,Scanning electron microscope ,Contact resistance ,Copper interconnect ,Nucleation ,chemistry.chemical_element ,Nanotechnology ,Chemical vapor deposition ,Tungsten ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Atomic layer deposition ,Chemical engineering ,chemistry ,Metalorganic vapour phase epitaxy ,Electrical and Electronic Engineering - Abstract
This investigation elucidates various tungsten (W) nucleation layers in different W-plug fill processes. Four W-plug nucleation layers are evaluated. They involve an atomic layer deposition (ALD) W nucleation with SiH"4-base sequential nucleation layers, an ALD W nucleation with B"2H"6-base sequential nucleation layers, a conventional W chemical vapor deposition (CVD) nucleation layer, and a pulsed nucleation layer, respectively. Bulk deposition includes high pressures of 300Torr and conventionally 90Torr with hydrogen as a reductant of WF"6. A scanning electron microscopic analysis of the ALD W nucleation layer is conducted; it is a thin, smooth and dense film, which enhances the bulk deposition grain growth to increase grain size with low resistivity. Electrical results for ALD W processes are comparable to those for conventional W process in general barrier process condition. However, as the W-plug fills process on the weak and thin metal organic chemical vapor deposited (MOCVD) TiN barrier is varied, the ALD W processes retain their original electrical resistance performance. Unlike ALD W processes, the conventional W CVD suffers from serious contact resistance opening and tail bits. Transmission electron microscope profiles reveal that the thin and dense B"2H"6-base sequential nucleation layers prevent WF"6 molecular penetration through the TiN/Ti"x interface. Additionally, various W-plug fill processes are implemented in the tungsten damascene test vehicle, and the ALD B"2H"6-base sequential nucleation layers and subsequently formed bulk deposition at 300Torr have lower resistance than under other conditions. The contact profile obtained using the transmission electron microscope reveals that the ALD B"2H"6-base W-plug has favorable fill-in capability for both 100nm and 60nm contact sizes. Their lower resistivity and thinner nucleation layer suit them in particular to implement at a contact size of 100nm and smaller. The ALD B"2H"6-base sequential nucleation layers and subsequently formed bulk deposition at 300Torr can be used in the next generation of W-plug fill process.
- Published
- 2008
21. The new methodology of contact process window vericification
- Author
-
Kuang-Chao Chen, Yi-Lung Fang, Tahone Yang, Ling-Wu Yang, Hsiang-Chou Liao, Tuung Luoh, and Siao-Ling Li
- Subjects
Engineering ,Measure (data warehouse) ,Contact process ,business.industry ,Electronic engineering ,Window (computing) ,Wafer ,Process window ,business ,Focus (optics) ,Critical dimension ,Algorithm ,Finite element method - Abstract
Generally CD (critical dimension) measurement is an important role for verify the FEM (Focus Exposure Matrix) process window. However, the generally CD measurement is rough due to only measure few site in wafer. The results cannot get the high accuracy information for verification the FEM process window and waste a lot of FEM process time. In this paper, we have demonstrate a new methodology that can get rapidly and precisely verify FEM process window by advanced CD measurement go through high resolution images and contour extraction.
- Published
- 2015
22. Slurry selectivity to local thickness variations control in advanced Cu CMP process
- Author
-
Tung-He Chou, Syue-Ren Wu, Ling-Wuu Yang, Kuang-Chao Chen, Yung-Tai Hung, Tahone Yang, Kuang-Wei Chen, Chun-Fu Chen, and Tuung Luoh
- Subjects
Corrosion inhibitor ,chemistry.chemical_compound ,Materials science ,Chemical engineering ,chemistry ,Chemical-mechanical planarization ,Metallurgy ,High selectivity ,Slurry ,Polishing ,Selectivity - Abstract
Color abnormal phenomenon in post Cu chemical mechanical planarization (CMP) is found in 3X nm flash memory. TEM cross-section shows that there is no Cu residue but has localized thickness variation. This color abnormal phenomenon cannot be eliminated with subsequently Cu and barrier polishing. According to experimental results, the non-uniform inhibitor distribution of Cu slurry issue will enhance the localized Cu dishing profile and lead to thickness variations after barrier polishing. This phenomenon is found especially at high selectivity Cu slurry in advanced Cu CMP process. Color abnormal issue is resolved by implementing the slurry with lower corrosion inhibitor concentration. Although it may have slightly dishing issue after Cu polishing, it can be optimized by subsequent barrier polishing process.
- Published
- 2015
23. APF hard mask distortion improvement for high aspect ratio patterning
- Author
-
Huang Yu-Kai, Tahone Yang, Yung-Tai Hung, Tuung Luoh, Bing-Lung Yu, Kuang-Chao Chen, Lin-Wuu Yang, Shing-Ann Luo, and Yi-Sheng Cheng
- Subjects
Stress (mechanics) ,Materials science ,Amorphous carbon ,Etching (microfabrication) ,Distortion ,RF power amplifier ,Modulus ,Nanotechnology ,Bending ,Composite material ,Durability - Abstract
The goal of this research is to improve bending issue and etch durability of amorphous carbon hard mask film (APF). The design of experiments (DoE) employed variable conditions of the spacing, RF power, precursors flow (C 3 H 6 or C 2 H 2 ) and temperature. High Young's modulus and high sp3/sp2 bonding ratio can increase the etching resistance, and strengthen the high aspect ratio patterning structures.
- Published
- 2015
24. Thermal stability enhancement of silicides by using N2 and Ar implantation
- Author
-
Yung-Tai Hung, Chi-Tung Huang, Ling-Wuu Yang, Joseph Ku, H. Chung, Hung-Wei Liu, Chih-Yuan Lu, Tuung Luoh, Maggie Liou, Kuang-Chao Chen, and Chin-Ta Su
- Subjects
Nuclear and High Energy Physics ,Materials science ,Argon ,Metallurgy ,chemistry.chemical_element ,Blanket ,Grain growth ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Silicide ,Thermal stability ,Wafer ,Instrumentation ,Cobalt ,Titanium - Abstract
Thermal stability of titanium and cobalt silicides were enhanced by implementing nitrogen or argon implantation prior to silicide formation. Silicide formed on N2 or Ar implanted blanket wafers, P-type, or N-type poly-silicon had better thermal stability as compared with non-implant ones. Furthermore, Ar implanted approach demonstrated superior thermal stability characteristics even in a RTP test of 1000 °C/180 s. With the aid of N2 or Ar implantation, the grain growth of the silicide under high temperature was suppressed and thus it prohibited further diffusion and redistribution of the metal.
- Published
- 2005
25. Dual gate oxide integrity improvement by implementing nitrogen implantation technology
- Author
-
Joseph Ku, Tuung Luoh, Chi-Tung Huang, Jung-Yu Hsieh, Ling-Wuu Yang, Kuang-Chao Chen, Chih-Yuan Lu, and H. Chung
- Subjects
Nuclear and High Energy Physics ,Materials science ,business.industry ,Oxide ,chemistry.chemical_element ,Time-dependent gate oxide breakdown ,Equivalent oxide thickness ,Nitrogen ,chemistry.chemical_compound ,chemistry ,Gate oxide ,Optoelectronics ,Breakdown voltage ,Wafer ,business ,Instrumentation ,Mask ROM - Abstract
Dual gate oxide layers were implemented in 0.15 μm Mask ROM device. Two predominant approaches were carried out to achieve a combination of thin and thick gate oxides. One was the conventional, growth-etching-growth, process and another one was oxidation on wafers with pre-gate-oxide nitrogen implantation. The retardation rate of oxidation was dependent on the nitrogen implant dosage but independent on implant energy. For thin gate oxide, device performance by using nitrogen implantation prior to gate oxide demonstrated better thickness uniformity and breakdown voltage than that by using conventional approach. Furthermore, directly formed thick gate oxide achieved better thickness uniformity and breakdown voltage than that of the grow-etching-grow process.
- Published
- 2005
26. Single-wafer polysilicon engineering for the improvement of over erase in a 0.18-μm floating-gate flash memory
- Author
-
Cheng-Chen Hsueh, S. Pan, Hsueh-Hao Shih, Yaw-Lin Hwang, Kuang-Chao Chen, Yun-Chi Yang, Chih-Yuan Lu, H. Chung, Tzung-Ting Han, and Tuung Luoh
- Subjects
Materials science ,Silicon ,business.industry ,Polysilicon depletion effect ,Electrical engineering ,chemistry.chemical_element ,Integrated circuit ,Chemical vapor deposition ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Grain size ,Flash memory ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,law ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,Thin film ,business - Abstract
A new polysilicon grain engineering technology for the improvement of over erase in 0.18-/spl mu/m floating-gate flash memory has been developed with the use of single-wafer polysilicon processing, which makes it practical to use hydrogen as a process variable. The addition of hydrogen in polysilicon deposition significantly alters the reaction kinetics and produces polysilicon thin film of smooth surface, fine and uniformly distributed grains. Such a micrograin polysilicon possesses show excellent high-temperature stability. The benefits of the micrograin polysilicon are to be demonstrated through its improvement in over erase of a 0.18-/spl mu/m floating-gate flash memory.
- Published
- 2003
27. Detecting buried voids in copper interconnect
- Author
-
Olivier Moreau, Ivan Yao, Kuang-Chao Chen, Tuung Luoh, Alex Cheng, Hsiang-Chou Liao, Ling-Wu Yang, SiYeul Yoon, Tahone Yang, Chih-Yuan Lu, Hong Xiao, and Steve Lin
- Subjects
Void (astronomy) ,Materials science ,business.industry ,Scanning electron microscope ,Copper interconnect ,chemistry.chemical_element ,Copper ,Secondary electrons ,chemistry.chemical_compound ,Crystallography ,Silicon nitride ,chemistry ,Chemical-mechanical planarization ,Optoelectronics ,Wafer ,business - Abstract
In this paper we studied capturing buried void defects in copper (Cu) wires using an electron beam inspection (EBI) system. These are defects of interest (DOI) to integrated circuit (IC) manufacturers because typical defect inspection techniques cannot capture them: optical defect inspection, EBI voltage contrast (VC) mode, and EBI physical detection mode. We used an engineering system to study a copper CMP wafer with a silicon nitride (SiN) cap layer. EBI backscattered electron (BSE) mode captured many dark defects. Defect review using both secondary electron (SE) and BSE modes found that some dark defects were voids exposed to the Cu surface, while others were unique to BSE mode. We did physical failure analysis (PFA) for some of the BSE mode unique DOI. The cross-sectional scanning electron microscope (SEM) images confirmed that the unique DOI were buried voids in copper wires. Monte Carlo simulation results confirmed there is enough contrast for BSE to differentiate between the normal Cu wire and Cu wire with buried void.
- Published
- 2013
28. FOUP N2 purge engineering in Fab
- Author
-
Tuung Luoh, Howard Tsao, Tahone Yang, Kuang-Chao Chen, Ling-Wu Yang, Chih-Yuan Lu, and Yiting Kuo
- Subjects
Semiconductor industry ,Engineering ,Engineering drawing ,FOUP ,business.industry ,Nozzle ,Wafer ,business ,Process engineering ,Maintenance engineering ,Purge - Abstract
Airborne molecular contamination (AMC) becomes more serious in Fab as the device shrinks and wafer size increases, an economic way for preventing these contaminations is to use N2 purge charging process. The practical FOUP N2 purge engineering is addressed here, which includes the maintenance and management of FOUPs, N2 purge charging flow rate, the design of nozzle, selection of nuzzle material, and tool configuration.
- Published
- 2013
29. Quasi-blind voltage contrast in e beam inspection
- Author
-
Chih-Yuan Lu, Tuung Luoh, Ling-Wu Yang, Hsiao-Leng Li, Tahone Yang, Hsiang-Chou Liao, and Kuang-Chao Chen
- Subjects
Optics ,Materials science ,business.industry ,Mode (statistics) ,Electronic engineering ,Electron beam processing ,Hot spot (veterinary medicine) ,Sensitivity (control systems) ,business ,Voltage contrast - Abstract
The different inspection methodologies in e beam are put together to compare their inspection performance on irregularly periphery via plugs. The results demonstrate hot spot inspection mode has better alignment performance and higher sensitivity than leap and scan mode. Hot spot mode can inspect the tiny variation of voltage contrast (VC) with high sensitivities. One type of VC variation inspected by hot spot mode so call qausi-blind VC is addressed here that was not reported before.
- Published
- 2013
30. Inspection flow of yield impacting systematic defects
- Author
-
Rong Lv, ChengHua Yang, Chih-Yuan Lu, Hsiang-Chou Liao, Donghua Liu, Chi-Min Chen, Ling-Wu Yang, Tuung Luoh, Jeff Fan, Kuang-Chao Chen, and Tahone Yang
- Subjects
Automated optical inspection ,Spectrum analyzer ,Design rule checking ,Engineering ,Automated X-ray inspection ,business.industry ,Rule checking ,Process window ,business ,High sensitive ,Lithography ,Reliability engineering - Abstract
Yield impacting systematic defects finding is no longer just relied on Design Rule Checking (DRC) provided by designer or Lithography Rule Checking (LRC) provided by post-optical proximity correction (OPC) results. An inspection flow is proposed in this paper, which is combining the inspection KLA tool and Hotspot Pattern Analyzer (HPA) database software to do the systematic defects filtering, sorting, grouping, and classification on the data base after hot scan inspection. 2nd time high sensitive inspection is done with new care area, which is reduced into one ten-thousandth of original inspection area. Following this inspection flow, we can identify the process window more accuracy.
- Published
- 2013
31. TiCl4 Barrier Process Engineering in Semiconductor Manufacturing
- Author
-
Kuang-Chao Chen, Huang Yu-Kai, Ling-Wuu Yang, Tuung Luoh, Yung-Tai Hung, and Tahone Yang
- Subjects
Materials science ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,Chemical vapor deposition ,01 natural sciences ,metal gate ,chemistry.chemical_compound ,TiCl4 ,Plasma-enhanced chemical vapor deposition ,0103 physical sciences ,Materials Chemistry ,Deposition (phase transition) ,Metalorganic vapour phase epitaxy ,Metal gate ,MOCVD ,barrier ,contact ,010302 applied physics ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,Engineering physics ,Titanium nitride ,Surfaces, Coatings and Films ,chemistry ,lcsh:TA1-2040 ,lcsh:Engineering (General). Civil engineering (General) ,0210 nano-technology ,Tin ,Titanium - Abstract
Titanium nitride (TiN) not only was utilized in the wear-resistant coatings industry but it was also adopted in barrier processes for semiconductor manufacturing. Barrier processes include the titanium (Ti) and TiN processes, which are commonly used as diffusion barriers in via/contact applications. However, engineers frequently struggle at the via/contact module in the beginning of every technology node. As devices shrink, barrier processes become more challenging to overcome the both the physical fill-in and electrical performance requirements of advanced small via/contact plugs. The aim of this paper is to investigate various chemical vapor deposition (CVD) TiCl4-based barrier processes to serve the application of advanced small via/contact plugs and the metal gate processes. The results demonstrate that the plasma-enhanced chemical vapor deposition (PECVD) TiCl4-based Ti process needs to select a feasible process temperature to avoid Si surface corrosion by high-temperature chloride flow. Conventional high step coverage (HSC) CVD TiCl4-based TiN processes give much better impurity performance than metal organic chemical vapor deposition (MOCVD) TiN. However, the higher chloride content in HSC film may degrade the long-term reliability of the device. Furthermore, it is evidenced that a sequential flow deposition (SFD) CVD TiCl4-based process with multiple cycles can give much less chloride content, resulting in faster erase speeds and lower erase levels than that of conventional HSC TiN.
- Published
- 2016
32. The application of e beam inspection on 3D NAND flash
- Author
-
Kuang-Yeu Hsieh, Ling-Wu Yang, Tahone Yang, Guan-Ru Lee, Kuang-Chao Chen, Hsiang-Chou Liao, Chih-Yuan Lu, Yen-Hao Shih, Rich Liu, Hang-Ting Lue, Yi-Chin Chen, and Tuung Luoh
- Subjects
Very-large-scale integration ,Engineering ,Flash (photography) ,Hardware_MEMORYSTRUCTURES ,business.industry ,Embedded system ,Electron beam processing ,NAND gate ,Performance monitoring ,business ,Voltage contrast ,Computer hardware ,Flash memory - Abstract
Various three-dimensional (3D) multilayer stacks NAND flash architectures are developed by several companies, the defect performance monitoring under such complicated architectures has become a new challenge in 3D NAND flash. The aim of this paper is to illustrate e beam inspection system can monitor the tiny, invisible defects and electrical defects that can not be recognized by optical systems.
- Published
- 2012
33. Sensitivities improvement by utilizing dark mode of bright filed inspection
- Author
-
Ling-Wu Yang, Tuung Luoh, Yen Chung, Hsiao-Leng Li, Che-Lung Hung, Kuang-Chou Chen, Tahone Yang, and Chih-Yuan Lu
- Subjects
Engineering ,Optics ,business.industry ,Semiconductor technology ,Sensitivity (control systems) ,Dark mode ,Broadband communication ,business ,Signal - Abstract
Advanced bright field (BF) inspection tool bundles with many powerful features to improve its resolution and sensitivity. However, it usually takes much time for creating an effective monitoring recipe for suppressing the background signal. This paper demonstrates the benefit of BF dark mode inspection for suppressing the interferences of nuisance and pre-layer.
- Published
- 2012
34. Dark field inspection technique on poly-silicon CMP process
- Author
-
Tuung Luoh, Che-Lung Hung, Kuang-Chou Chen, Ling-Wu Yang, Yen Chuang, Chih-Yuan Lu, and Tahone Yang
- Subjects
Engineering drawing ,Materials science ,Silicon ,business.industry ,Process (computing) ,chemistry.chemical_element ,Dark field microscopy ,chemistry ,Scratch ,Etching (microfabrication) ,Chemical-mechanical planarization ,Deposition (phase transition) ,Optoelectronics ,business ,computer ,computer.programming_language - Abstract
Advanced high performance dark field (DF) inspection is usually utilized at larger defects and the excursions in etch, chemical mechanical polish (CMP) or film deposition processes inspection at high production throughputs. Here demonstrates risky scratch defects right after post poly-silicon CMP captured by DF inspection technique.
- Published
- 2012
35. FOUP environment control and condense reduction
- Author
-
Chih-Yuan Lu, Ling-Wu Yang, Kuang-Chao Chen, Yiting Kuo, Tuung Luoh, Howard Tsao, and Tahone Yang
- Subjects
Reduction (complexity) ,FOUP ,business.industry ,Chemistry ,Environmental engineering ,Queue time ,Process engineering ,business ,Purge ,Surface cleaning - Abstract
The effects on the mini-environment of FOUP (Front Opening Unified Pod) by N 2 purge are evaluated with various processes. From the experimental results, it is effective to suppress the formation of condense defect, corrosion defect and extend the queue time at critical process steps.
- Published
- 2012
36. Optics selection by high magnification optical micrograph in bright field inspection
- Author
-
Chi-Min Chen, Ling-Wu Yang, Chih-Yuan Lu, Tahone Yang, Kuang-Chao Chen, Tuung Luoh, and Yi-Chin Chen
- Subjects
Background noise ,Engineering ,Optics ,Micrograph ,Field (physics) ,High magnification ,business.industry ,Semiconductor technology ,Optoelectronics ,business ,Signal ,Selection (genetic algorithm) - Abstract
Advanced bright field (BF) inspector have many functions to increase the defect signal, and suppress the background noise. However, it will take much time to fine tune an optimized BF inspection recipe. The aim of this paper is to propose a faster way to select the optimized optics.
- Published
- 2012
37. Yield enhancement using source/drain BF2+ implant process optimization
- Author
-
Chih-Yuan Lu, Chen-Ling Lee, Kuang-Chao Chen, Tahone Yang, Sheng-Hui Hsieh, Hong Ji Lee, Kuo-Liang Wei, Ling-Wu Yang, Tuung Luoh, and Chin-Ta Su
- Subjects
Materials science ,Silicon ,Annealing (metallurgy) ,business.industry ,Doping ,chemistry.chemical_element ,Outgassing ,Ion implantation ,chemistry ,Fluorine ,Optoelectronics ,Process optimization ,business ,Boron - Abstract
This investigation employs an optimized method to alleviate defects occurring at BF 2 + implanted source/drain areas, some white spots defects found at scribes lines after BPSG (boron and phosphorus doped silicon glass) anneal. The results of physical failure analysis indicate the white spot defects are relative to outgassed fluorine that can't be released out during BPSG thermal annealing. Various approaches which includes changing the stress of post cap layer, lowering down the BF 2 + implant doping concentration, and optimizing the ramp-up speed of post-annealing are all able to alleviate the generation of white spot defects. The optimized process with an additional RTP (rapid thermal annealing) right after BF 2 + implantation delivers 7% yield improvement.
- Published
- 2010
38. Unipolar Switching Characteristics for Self-Aligned WOx Resistance RAM (R-RAM)
- Author
-
K. C. Chen, Sheng-Hui Hsieh, Chih-Yuan Lu, Kuo-Pin Chang, Yi-Chou Chen, Kuang-Yeu Hsieh, Erh-Kun Lai, Ta-Hung Yang, Ming-Hsiang Hsueh, Wei-Chih Chien, Chien-Hung Yeh, Tuung Luoh, Rich Liu, and Yeong-Der Yao
- Subjects
Non-volatile memory ,High resistance ,Low energy ,Materials science ,Pulse (signal processing) ,business.industry ,Electrical resistivity and conductivity ,Electrical engineering ,Optoelectronics ,Low resistance ,business - Abstract
For the first time a unipolar resistance memory with good performance and reliability is demonstrated. A short (20-50 ns) positive pulse switches the WOx film from low resistance state (LRS) to high resistance state (HRS), while a longer (200-500 ns) positive pulse switches the film from HRS to LRS. Negative pulses, on the other hand, do not produce reversible resistivity changes. Despite the low energy switching, both LRS and HRS are very stable, capable of withstanding 2,500 hours of baking at up to 150 degC- Furthermore, the WOx R-RAM can withstand > 1,000 cycles of LRS/HRS switching, and the device is also highly immune to read disturb. This unipolar device is promising for future 3D high-density NVM storage.
- Published
- 2008
39. Process optimization by advanced process control with fault detection system for flash memory
- Author
-
Chi-Tung Huang, Joseph Ku, Hsueh-Hao Shih, Ling-Wuu Yang, Tuung Luoh, Chih-Yuan Lu, Li-Chung Yang, Chang-Wei Liao, Kuang-Chao Chen, and H. Chung
- Subjects
Engineering ,business.industry ,Control system ,Real-time computing ,Process (computing) ,Wafer testing ,Process optimization ,business ,Statistical process control ,Computer hardware ,Fault detection and isolation ,Flash memory ,Advanced process control - Abstract
Plasma damaged 0.18 /spl mu/m flash memory device has been resolved by integrating advanced process control with fault detection and classification (APC FDC) system in ILD HDP PSG process. PSG plasma damage to device was detected by real-time monitoring APC control system with multivariate statistically calculation to detect out-of-control conditions within five minutes. The unhealthy recipe contents and the hardware healthy status are detected by integrating APC FDC system. After we analyzing the fault detection and classification function, APC system successfully predicts the same results as wafer acceptance test and wafer sort yield. Recipe and hardware are modified to eliminate the plasma damage according the analysis results.
- Published
- 2005
40. TiCl4 Barrier Process Engineering in Semiconductor Manufacturing.
- Author
-
Tuung Luoh, Yu-Kai Huang, Yung-Tai Hung, Ling-Wuu Yang, Ta-Hone Yang, and Kuang-Chao Chen
- Subjects
TITANIUM nitride ,SEMICONDUCTOR manufacturing ,METAL organic chemical vapor deposition coatings - Abstract
Titanium nitride (TiN) not only was utilized in the wear-resistant coatings industry but it was also adopted in barrier processes for semiconductor manufacturing. Barrier processes include the titanium (Ti) and TiN processes, which are commonly used as diffusion barriers in via/contact applications. However, engineers frequently struggle at the via/contact module in the beginning of every technology node. As devices shrink, barrier processes become more challenging to overcome the both the physical fill-in and electrical performance requirements of advanced small via/contact plugs. The aim of this paper is to investigate various chemical vapor deposition (CVD) TiCl
4 -based barrier processes to serve the application of advanced small via/contact plugs and the metal gate processes. The results demonstrate that the plasma-enhanced chemical vapor deposition (PECVD) TiCl4 -based Ti process needs to select a feasible process temperature to avoid Si surface corrosion by high-temperature chloride flow. Conventional high step coverage (HSC) CVD TiCl4 -based TiN processes give much better impurity performance than metal organic chemical vapor deposition (MOCVD) TiN. However, the higher chloride content in HSC film may degrade the long-term reliability of the device. Furthermore, it is evidenced that a sequential flow deposition (SFD) CVD TiCl4 -based process with multiple cycles can give much less chloride content, resulting in faster erase speeds and lower erase levels than that of conventional HSC TiN. [ABSTRACT FROM AUTHOR]- Published
- 2016
- Full Text
- View/download PDF
41. Detecting buried voids in copper interconnect.
- Author
-
Liao, Hsiang-Chou, Tuung Luoh, Ling-Wu Yang, Tahone Yang, Kuang-Chao Chen, Chih-Yuan Lu, Steve Lin, Cheng, Alex, Yao, Ivan, SiYeul Yoon, Moreau, Olivier, and Xiao, Hong
- Published
- 2013
- Full Text
- View/download PDF
42. Blind contact detection in the irregularly periphery area using leap & scan e-beam inspection.
- Author
-
Hsiang-Chou Liao, Che-Lun Hung, Tuung Luoh, Ling-Wu Yang, Tahone Yang, Kuang-Chao Chen, and Chih-Yuan Lu
- Published
- 2011
43. Metal grain suppression and DOI capture rate improvement in 32 nm technology node.
- Author
-
Hsiao-Leng Li, Che-Lung Hung, Tuung Luoh, Ling-Wu Yang, Tahone Yang, Kuang-Chao Chen, and Chih-Yuan Lu
- Published
- 2011
44. Optimization and monitoring of bevel removal process.
- Author
-
Yi-Chin Chen, Hui-Ying Hsu, Che-Lun Hung, Tuung Luoh, Ling-Wu Yang, Tahone Yang, Kuang-Chao Chen, and Chih-Yuan Lu
- Published
- 2011
45. Reduction of nuisance rate in inspection using review/inspector cycle optimization methodology.
- Author
-
Chimin Chen, Yen Chuang, Che-Lun Hung, Tuung Luoh, Ling-Wu Yang, Tahone Yang, Kuang-Chao Chen, and Chih-Yuan Lu
- Published
- 2011
46. Yield enhancement using source/drain BF2+ implant process optimization.
- Author
-
Tuung Luoh, Sheng-Hui Hsieh, Chen-Ling Lee, Hong Ji Lee, Kuo-Liang Wei, Chin-Ta Su, Ling-Wu Yang, Yang, T., Kuang-Chao Chen, and Chih-Yuan Lu
- Published
- 2010
- Full Text
- View/download PDF
47. Process optimization by advanced process control with fault detection system for flash memory.
- Author
-
Tuung Luoh, Chang-Wei Liao, Li-Chung Yang, Ling-Wuu Yang, Chi-Tung Huang, Hsueh-Hao Shih, Kuang-Chao Chen, Chung, H., Ku, J., and Chih-Yuan Lu
- Published
- 2004
- Full Text
- View/download PDF
48. Process optimization and productivity improvement by real-time data collection system.
- Author
-
Tuung Luoh, Ling-Wuu Yang, Hsueh-Hao Shih, Chi-Tung Huang, Kuang-Chao Chen, Yaw-Lin Hwang, Hsueh, C., and Chung, H.
- Published
- 2002
- Full Text
- View/download PDF
49. Slurry selectivity to local thickness variations control in advanced Cu CMP process.
- Author
-
Kuang-Wei Chen, Tung-He Chou, Syue-Ren Wu, Chun-Fu Chen, Yung-Tai Hung, Tuung Luoh, Ling-Wuu Yang, Tahone Yang, and Kuang-Chao Chen
- Published
- 2015
- Full Text
- View/download PDF
50. APF hard mask distortion improvement for high aspect ratio patterning.
- Author
-
Bing-Lung Yu, YuKai Huang, Shing-Ann Luo, Yi-Sheng Cheng, Yung-Tai Hung, Tuung Luoh, Lin-Wuu Yang, Tahone Yang, and Kuang-Chao Chen
- Published
- 2015
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.