Search

Your search keyword '"SEMICONDUCTOR wafer bonding"' showing total 756 results

Search Constraints

Start Over You searched for: Descriptor "SEMICONDUCTOR wafer bonding" Remove constraint Descriptor: "SEMICONDUCTOR wafer bonding"
756 results on '"SEMICONDUCTOR wafer bonding"'

Search Results

1. Fabrication of high-Q suspended AlGaAs microresonators for efficient Kerr comb generation.

2. The 3D Monolithically Integrated Hardware Based Neural System with Enhanced Memory Window of the Volatile and Non‐Volatile Devices.

3. Research Toward Wafer-Scale 3D Integration of InP Membrane Photonics With InP Electronics.

4. Wafer Level Vacuum Packaging of MEMS-Based Uncooled Infrared Sensors.

5. Optomechanical Cavities Based on Epitaxial GaP on Nominally (001)‐Oriented Si.

6. Prototype of Parallel Plate Type Fast Atom Beam Source and its Improvement of Irradiation Characteristics.

7. Low‐temperature‐curable and photo‐patternable benzocyclobutene‐derived aggregation‐induced emission‐active polymer dielectrics.

8. Sheet Resistance Optimization in (Al)GaInP Solar Cells for Concentrator Quadruple–Junction Solar Cells.

9. Sacrificial Powder Pressure Control for Infiltration of Microscale Binder Jet Printed Metal Parts.

10. On-Chip Broadband, Compact TM Mode Mach–Zehnder Optical Isolator Based on InP-on-Insulator Platforms.

11. Role of humidity and surface roughness on direct wafer bonding.

12. Double cantilever beam bonding energy measurement using confocal IR microscopy.

13. Room temperature wafer bonding through conversion of polysilazane into SiO2.

14. Magnetic alignment technology for wafer bonding.

15. Detecting fatigue in aluminum alloys based on internal friction measurement using an electromechanical impedance method.

16. Impedance characterization of substrate leakage in wafer bonded CMUTs.

18. Thermal transport and structural improvements due to annealing of wafer bonded β-Ga2O3|4H-SiC.

19. A monolithic microfluidic probe for ambient mass spectrometry imaging of biological tissues.

20. Design, modelling and FEM-based validation of composite membrane circular cMUT cell.

21. Semiconductor Wafer Bonding for Solar Cell Applications: A Review.

22. Nitrogen buffer gas pressure tuning in a micro-machined vapor cell.

23. Wafer-to-Wafer Bonding Fabrication Process-Induced Wafer Warpage.

24. Enabling the Use of High-Precision Glass Wafers in a Conventional Si Fab.

25. Electrical and Structural Analysis of β‐Ga2O3/GaN Wafer‐Bonded Heterojunctions with a ZnO Interlayer.

26. Comparison of Anodic and Au-Au Thermocompression Si-Wafer Bonding Methods for High-Pressure Microcooling Devices.

27. AlGe wafer bonding in ultra-high vacuum environment.

28. Evaluation of the mechanical properties of germanium-on-insulator (GeOI) films by Raman spectroscopy and nanoindentation.

29. Dark current analysis of germanium-on-insulator vertical p-i-n photodetectors with varying threading dislocation density.

30. A heterogeneously integrated lithium niobate-on-silicon nitride photonic platform.

31. Electromigration in three-dimensional integrated circuits.

32. TCAD Analysis of Leakage Current and Breakdown Voltage in Small Pitch 3D Pixel Sensors.

33. Study on P-AlGaAs/Al/Au Ohmic Contact Characteristics for Improving Optoelectronic Response of Infrared Light-Emitting Device.

34. A Single-Side Micromachined MPa-Scale High-Temperature Pressure Sensor.

35. Assessment of Wafer-Level Transfer Techniques of Graphene with Respect to Semiconductor Industry Requirements.

36. Room-temperature bonding of Al2O3 thin films deposited using atomic layer deposition.

37. A high-precision Mark positioning algorithm based on sub-pixel shape template matching in wafer bonding alignment.

38. Effect of Surface Cleaning Process on the Wafer Bonding of Silicon and Pyrex Glass.

39. Long-Wavelength VCSELs: Status and Prospects.

40. A True Process-Heterogeneous Stacked Embedded DRAM Structure Based on Wafer-Level Hybrid Bonding.

41. Heterogeneous integration of III–V semiconductor lasers on thin-film lithium niobite platform by wafer bonding.

42. Aerosol Jet Printing of a Benzocyclobutene‐Based Ink as Adhesive Material for Wafer Bonding Application.

43. Investigation of OP-GaP Grown on OP-GaAs Templates Using Nondestructive Reciprocal Space Mapping.

44. Inverse analysis for damage detection in a rod using EMI method.

45. InGaSb-on-insulator p-channel metal-oxide-semiconductor field-effect transistors on Si fabricated by direct wafer bonding.

46. Development of a Flexible Integrated Self-Calibrating MEMS Pressure Sensor Using a Liquid-to-Vapor Phase Change.

47. High-Precision Wafer Bonding Alignment Mark Using Moiré Fringes and Digital Grating.

48. Void Suppression in Glass Frit Bonding Via Three-Step Annealing Process.

49. Thin ceramic PZT dual- and multi-frequency pMUT arrays for photoacoustic imaging.

50. The Design, Fabrication and Characterization of Grating Couplers for SiGe Photonic Integration Employing a Reflective Back Mirror.

Catalog

Books, media, physical & digital resources