33 results on '"Ru Gun Liu"'
Search Results
2. Mask lithographic performance investigation with computational Monte-Carlo method on advanced mask patterning
- Author
-
Chang Shih-Ming, Chun-Hung Liu, Hsin-wei Wu, Ru-Gun Liu, Alex Chen, Wen Lo, Shuo-Yen Chou, Chen Chien-Cheng, and Chang Chia-Hua
- Subjects
Contrast enhancement ,Semiconductor ,Optics ,business.industry ,Computer science ,Shot (pellet) ,Monte Carlo method ,Hardware_INTEGRATEDCIRCUITS ,business ,Lithography ,Shaped beam ,Electron-beam lithography ,Beam (structure) - Abstract
As semiconductor features shrink in dimension and pitch, the excessive control of critical-dimension uniformity (CDU) and pattern fidelity is essential for mask manufacturing using electron-beam lithography. Requirements of the electronbeam shot quality affected by shot unsteadiness become more important than before for the advanced mask patterning. Imperfect electron optical system, an inaccurate beam deflector, and imprecise mask stage control are mainly related to the shot unsteadiness including positioning and dose perturbations. This work extensively investigates impacts of variable shaped beam dose and positioning perturbations on local CDU using Monte Carlo simulation for various mask contrast enhancement approaches. In addition, the relationship between the mask lithographic performance and the shot count number correlated with mask writing time is intensively studied.
- Published
- 2018
3. Inverse polarizer on immersion lithography mask
- Author
-
Tsai-Sheng Gau, Chen Minfeng, Shuo-Yen Chou, Chun-Kuang Chen, and Ru-Gun Liu
- Subjects
Diffraction ,Materials science ,business.industry ,Image quality ,02 engineering and technology ,Polarizer ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,010309 optics ,Optics ,law ,0103 physical sciences ,Optoelectronics ,Photomask ,0210 nano-technology ,business ,Lithography ,Diffraction grating ,Aerial image ,Immersion lithography - Abstract
The inverse polarizing effect of Sub-Wavelength Metallic Gratings (SWMGs) is employed to improve the lithography performance by controlling the polarization. The SWMGs are intentionally created on the top surface of mask. Its polarization selectivity is deliberately designed according to the bottom mask patterns. A series of simulations and optimizations on SWMG structures were done in order to achieve better image quality. We demonstrate that the contrast of aerial image can be improved by designing the inverse polarizer on mask (iPOM) for some specific layout patterns. We also reveal that the double diffraction inevitably occurring in-between the iPOM and layout pattern may damage the image quality in most situations. This leads to narrow usage of iPOM. An alternative to overcome the double diffraction is proposed by optimizing the refractive index and thickness of layout absorber to make the polarization selection feasible without iPOM.
- Published
- 2016
4. EUV and e-beam manufacturability
- Author
-
Ru-Gun Liu, Yao-Wen Chang, and Shao-Yun Fang
- Subjects
Computer science ,Computational lithography ,Extreme ultraviolet lithography ,Engineering physics ,Design for manufacturability ,law.invention ,Nanolithography ,Resist ,law ,Multiple patterning ,Ultraviolet light ,X-ray lithography ,Stencil lithography ,Photolithography ,Lithography ,Next-generation lithography ,Electron-beam lithography ,Maskless lithography - Abstract
As process nodes continue to shrink, the semiconductor industry faces severe manufacturing challenges. Two most expected technologies may push the limits of next-generation lithography: extreme ultraviolet lithography (EUVL) and electron beam lithography (EBL). EUVL works by emitting intense beams of ultraviolet light that are reflected from a reflective mask into a resist for nanofabrication, while EBL scans focused beams of electrons to directly draw high-resolution feature patterns on a resist without employing any mask. Each of the two technologies encounters unique design challenges and requires solutions for a breakthrough. In this paper, we focus on the design-for-manufacturability issues for EUVL and EBL. We investigate the most critical design challenges of the two technologies, flare and shadowing effects for EUVL, and heating, stitching, fogging, and proximity effects for EBL. Preliminary solutions for these effects are explored, which can contribute to the continuing scaling of the CMOS technology. Finally, we provide future research directions for these key effects.
- Published
- 2015
5. Simulation of AIMS measurements using rigorous mask 3D modeling
- Author
-
Wen-Chun Huang, Ru-Gun Liu, Fu-Sheng Chu, Yuan-Chih Chu, Chih-Shiang Chou, Tsai-Sheng Gau, and Huang Hsu-Ting
- Subjects
Diffraction ,business.industry ,Scattering ,Computer science ,Acoustics ,Plane wave ,Process (computing) ,Mask inspection ,3D modeling ,Die (integrated circuit) ,Optics ,Wafer ,business ,Lithography ,Aerial image - Abstract
Aerial image measurement system (AIMS TM ) has been widely used for wafer level inspection of mask defects. Reported inspection flows include die-to-die (D2D) and die-to-database (D2DB) methods. For patterns that do not repeat in another die, only the D2DB approach is applicable. The D2DB method requires accurate simulation of AIMS measurements for a mask pattern. An optical vectorial model is needed to depict the mask diffraction effect in this simulation. To accurately simulate the imaging results, a rigorous electro-magnetic field (EMF) model is essential to correctly take account of the EMF scatteri ng induced by the mask topography, which is usually called the mask 3D effect. In this study, the mask 3D model we use is rigorous coupled-wave analysis (RCWA), which calculates the diffraction fields from a single plane wave incidence. A hybrid Hopkins-Abbe method with RCWA is used to calculate the EMF diffraction at a desired accuracy level while keeping the co mputation time practical. We will compare the speed of the hybrid Hopkins-Abbe method to the rigorous Abbe method. The matching between simulation and experiment is more challenging for AIMS than CD-SEM because its measurements provide full intensity information. Parameters in the mask 3D model such as film stack thickness or film optical properties, is optimized during the fitting process. We will report the fitting results of AIMS images for two-dimensional structures with various pitches. By accurately simulating the AIMS measurem ents, it provides a necessary tool to perform the mask inspection using the D2DB ap proach and to accurately predict the mask defects. Keywords: AIMS, lithography, mask 3D, EMF, mask inspection
- Published
- 2015
6. Mask Lithographic Performance Investigation with Computational Monte Carlo Method on Advanced Mask Patterning.
- Author
-
Chun-Hung Liu, Shih-Ming Chang, Chia-Hua Chang, Wen Lo, Hsin-Wei Wu, Chien-Cheng Chen, Chen, Alex, Shuo-Yen Chou, and Ru-Gun Liu
- Published
- 2018
- Full Text
- View/download PDF
7. Adsorption and photon-stimulated desorption of CCl[sub 4] on an Al(111) surface investigated....
- Author
-
Jin-Ming Chen and Ru-Gun Liu
- Subjects
- *
ADSORPTION (Chemistry) , *CARBON tetrachloride - Abstract
Examines the adsorption and photon-stimulated desorption of carbon tetrachloride on an aluminum surface. Use of photoemission spectroscopy and photon-stimulated ion desorption (PSID) techniques; Account on the chloride desorption threshold; Comparison between the total-electron yield spectrum and PSID spectrum of solid carbon tetrachloride.
- Published
- 1998
- Full Text
- View/download PDF
8. Resist profile simulation with fast lithography model
- Author
-
Tsai-Sheng Gau, Yu-Po Tang, Chih-Shiang Chou, Ru-Gun Liu, Wen-Chun Huang, and Yan-Ying He
- Subjects
Resist ,Etching (microfabrication) ,Computer science ,Atomic force microscopy ,Etching ,Point (geometry) ,Nanotechnology ,Wafer ,Algorithm ,Lithography ,Metrology - Abstract
A traditional approach to construct a fast lithographic model is to match wafer top-down SEM images, contours and/or gauge CDs with a TCC model plus some simple resist representation. This modeling method has been proven and is extensively used for OPC modeling. As the technology moves forward, this traditional approach has become insufficient in regard to lithography weak point detection, etching bias prediction, etc. The drawback of this approach is from metrology and simulation. First, top-down SEM is only good for acquiring planar CD information. Some 3D metrology such as cross-section SEM or AFM is necessary to obtain the true resist profile. Second, the TCC modeling approach is only suitable for planar image simulation. In order to model the resist profile, full 3D image simulation is needed. Even though there are many rigorous simulators capable of catching the resist profile very well, none of them is feasible for full-chip application due to the tremendous consumption of computational resource. The authors have proposed a quasi-3D image simulation method in the previous study [1], which is suitable for full-chip simulation with the consideration of sidewall angles, to improve the model accuracy of planar models. In this paper, the quasi-3D image simulation is extended to directly model the resist profile with AFM and/or cross-section SEM data. Resist weak points detected by the model generated with this 3D approach are verified on the wafer.
- Published
- 2014
9. Electronic and Local Structural Properties of the Bi2Sr2(Ca1-xYx)Cu2O8+δ Family of Materials, Studied by X-ray Absorption Spectroscopy
- Author
-
Jyh-Fu Lee, Ru-Gun Liu, Ru-Shi Liu, Jin-Ming Chen, Ling-Yun Jang, Kenneth D. M. Harris, and I-Jui Hsu
- Subjects
X-ray absorption spectroscopy ,Quantitative Biology::Neurons and Cognition ,Extended X-ray absorption fine structure ,Absorption spectroscopy ,Chemistry ,General Chemical Engineering ,Inorganic chemistry ,Analytical chemistry ,General Chemistry ,Electron ,XANES ,Spectral line ,Magnetization ,Condensed Matter::Superconductivity ,Materials Chemistry ,Absorption (electromagnetic radiation) - Abstract
The hole distribution of overdoped, optimum-doped and underdoped states in the series Bi2Sr2(Ca1-xYx)Cu2O8+δ compounds has been investigated by high-resolution O K-edge and Cu L-edge X-ray absorption near-edge-structure (XANES) spectra. Near the O 1s edge, a well-pronounced pre-edge peak with maximum at ∼528.3 eV is found and is ascribed to the excitations of O 1s electron to O 2p hole states located in the CuO2 planes. The intensity of this pre-edge peak decreases as the Y doping increases, demonstrating that the chemical substitution of Y3+ for Ca2+ in Bi2Sr2(Ca1-xYx)Cu2O8+δ gives rise to a decrease in hole concentrations within the CuO2 planes. The results from the Cu L-edge X-ray absorption spectra are consistent with those from O 1s X-ray absorption spectra. The local structure of the pyramidal CuO5 in Bi2Sr2(Ca1-xYx)Cu2O8+δ has been determined from extended X-ray absorption fine-structure (EXAFS) spectra. It was found that the axial Cu−O bond distances contract and the equatorial Cu−O bond distances...
- Published
- 2000
10. Chemical size effect on the magnetic and electrical properties of colossal magnetoresistance La1.2(Sr1.8 − xCax)Mn2O7 materials
- Author
-
Jauyn Grace Lin, Chao-Yuan Huang, Ru-Gun Liu, Chih-Hung Shen, Jin-Ming Chen, and Ru-Shi Liu
- Subjects
Colossal magnetoresistance ,Valence (chemistry) ,Absorption spectroscopy ,Condensed matter physics ,Magnetoresistance ,Chemistry ,Excited state ,Chemical shift ,General Chemistry - Abstract
Chemical size effects on the magnetic and electrical properties of the colossal magnetoresistance La1.2(Sr1.8 – xCax)Mn2O7 materials have been investigated. Based on the chemical shifts of the first unoccupied excited states in the core-level X-ray absorption spectrum correlated to the charge, the valence of Mn has been determined to be 3.43 ± 0.05 for all x in La1.2(Sr1.8 – xCax)Mn2O7. The magnetoresistance ratio [ρ(0)/ρ(H)] can be efficiently increased from ≈192% (135 K, 1.5 T) for x = 0 to 208% (102 K, 1.5 T) for x = 0.4. On further increase in the concentration of Ca (x = 0.6 and 0.8) the samples only show a spin-glass behavior.
- Published
- 1999
11. Adsorption and photon-stimulated desorption of CCl4 on an Al(111) surface investigated with synchrotron radiation
- Author
-
Su Chien Yang, Yao Jane Hsu, T. J. Chuang, Yuen Chung Liu, Ru Gun Liu, Ching Rong Wen, Yuan-Pern Lee, and Jin Ming Chen
- Subjects
Adsorption ,Photoemission spectroscopy ,Chemistry ,Desorption ,Analytical chemistry ,General Physics and Astronomy ,Molecule ,Physical and Theoretical Chemistry ,Antibonding molecular orbital ,Spectral line ,Dissociation (chemistry) ,Ion - Abstract
Adsorption and desorption of CCl4 molecules on an Al(111) surface at 90 K are characterized with photoemission spectroscopy (PES) and photon-stimulated ion desorption (PSID) techniques following valence-level and core-level excitations. Results of valence-level and Cl(2p) core-level PES spectra indicate that CCl4 dissociates partially upon adsorption on an Al(111) surface at submonolayer coverage and that molecular CCl4 adsorbs to form multilayers at large exposures. The dissociation upon adsorption of CCl4 on an Al surface at 90 K is likely mediated by the charge-transfer process. The Cl+ desorption threshold at ∼18.5 eV in valence-level PSID spectra may originate from the 5t2→7a1* (C–Cl antibonding orbital) transition consistent with the Menzel–Gomer–Redhead (MGR) mechanism. The total-electron yield (TEY) spectrum and the Cl+ PSID spectrum of solid CCl4 following the Cl L-edge excitation are clearly dissimilar. The enhanced desorption yield of Cl+ ions is detected at the Cl 2p→7a1* excitation, compared ...
- Published
- 1998
12. Interference harmonics and rigorous EM spectrum analysis method for low-k1CD Bossung tilt correction
- Author
-
Ru-Gun Liu, Hoi-Tou Ng, Chien-Fu Lee, Shuo-Yen Chou, Tsai-Sheng Gau, and Yi-Yin Chen
- Subjects
Diffraction ,Physics ,Image formation ,business.industry ,Phase (waves) ,Interference (wave propagation) ,law.invention ,Lens (optics) ,Superposition principle ,Optics ,Tilt (optics) ,law ,Harmonics ,business - Abstract
This paper discusses the CD Bossung tilt phenomena in low-k1 lithography using interference harmonics and rigorous EM spectrum analysis. Interference harmonics analysis is introduced to explain the interaction of diffraction orders in the focal region leading to this abnormal CD behavior. This method decomposes the vector image formula into a superposition of cosine components to describe the interference of diffraction orders. The symmetry properties of components of an optical projection system were investigated to find out three potential sources for the asymmetric Bossung behavior, namely mask 3D (M3D) effect, lens aberration, and wafer reflectivity. Under good lens aberration and substrate reflectivity controls, the M3D effect accounts for most of the CD Bossung tilt. A rigorous EM mask spectral analysis was performed to reveal the impact of mask topography on the near-field intensity of mask transmission and the far-field image formation. From the analysis, the asymmetric phase distribution in the mask spectrum is the root cause for CD Bossung tilt. Using both the interference harmonics and the rigorous EM spectrum analysis, the effect of various resolution enhancement techniques (RET) to the Bossung tilt is also studied to find the best RET combination for M3D immunity. In addition, a pupil optimization algorithm based on these two analyses is proposed to generate the phase compensation map for M3D effect counteraction.
- Published
- 2013
13. Joint calibration of 3D resist image and CDSEM
- Author
-
Chih-Shiang Chou, W. C. Huang, T. S. Gau, Yan-Ying He, Yu-Po Tang, Chang Ya-Ting, and Ru-Gun Liu
- Subjects
Planar ,Materials science ,Optics ,Resist ,Optical proximity correction ,Scanning electron microscope ,business.industry ,Calibration ,Wafer ,Photoresist ,business ,Signal - Abstract
Traditionally, an optical proximity correction model is to evaluate the resist image at a specific depth within the photoresist and then extract the resist contours from the image. Calibration is generally implemented by comparing resist contours with the critical dimensions (CD). The wafer CD is usually collected by a scanning electron microscope (SEM), which evaluates the CD based on some criterion that is a function of gray level, differential signal, threshold or other parameters set by the SEM. However, the criterion does not reveal which depth the CD is obtained at. This depth inconsistency between modeling and SEM makes the model calibration difficult for low k1 images. In this paper, the vertical resist profile is obtained by modifying the model from planar (2D) to quasi-3D approach and comparing the CD from this new model with SEM CD. For this quasi-3D model, the photoresist diffusion along the depth of the resist is considered and the 3D photoresist contours are evaluated. The performance of this new model is studied and is better than the 2D model.
- Published
- 2013
14. CONFINED COAXIAL JET FLOWS INTO A COLD MODEL OF CVD CHAMBER
- Author
-
Jen Kun Tsai, Ru Gun Liu, Anthony S.T. Chiang, and Cheng Tung Chou
- Subjects
Jet (fluid) ,Meteorology ,Atmospheric pressure ,Chemistry ,General Chemical Engineering ,Flow (psychology) ,Reynolds number ,Annular flow ,Laminar flow ,General Chemistry ,Mechanics ,symbols.namesake ,symbols ,Streamlines, streaklines, and pathlines ,Coaxial - Abstract
The length of recirculation zone is an important factor in the design of CVD chambers for manufacturing monolithic infrared optical materials. The recirculation length of confined coaxial air jet flows, which consist of a central tubular flow and a surrounding annular flow, into a cold model of CVD chamber at atmospheric pressure and room temperature were studied numerically by a two-dimensional Galerkin finite element method and experimentally by observing the streamlines of methylamino chloride particles. In the experiments, the tubular Reynolds number varies from 180 to 600 and the annular Reynolds number varies from 37.0 to 165.1. The simulation and experimental results show that the length of recirculation zone increased initially with the increase of either tubular or annular Reynolds number. Nevertheless, when either one of the tubular and annular Reynolds numbers was relatively high, or both Reynolds numbers were at relatively medium values, small waves occurred, laminar flow could not be maintain...
- Published
- 1995
15. Building 3D aerial image in photoresist with reconstructed mask image acquired with optical microscope
- Author
-
Yu-Po Tang, T. S. Gau, Chih-Shiang Chou, W. C. Huang, F. S. Chu, and Ru-Gun Liu
- Subjects
Latent image ,Materials science ,business.industry ,Polarization (waves) ,Refraction ,law.invention ,Lens (optics) ,Reflection (mathematics) ,Optics ,Resist ,law ,Computer Science::Computer Vision and Pattern Recognition ,Wafer ,business ,Aerial image - Abstract
Calibration of mask images on wafer becomes more important as features shrink. Two major types of metrology have been commonly adopted. One is to measure the mask image with scanning electron microscope (SEM) to obtain the contours on mask and then simulate the wafer image with optical simulator. The other is to use an optical imaging tool Aerial Image Measurement System (AIMS ™ ) to emulate the image on wafer. However, the SEM method is indirect. It just gathers planar contours on a mask with no consideration of optical characteristics such as 3D topography structures. Hence, the image on wafer is not predicted precisely. Though the AIMS ™ method can be used to directly measure the intensity at the near field of a mask but the image measured this way is not quite the same as that on the wafer due to reflections and refractions in the films on wafer. Here, a new approach is proposed to emulate the image on wafer more precisely. The behavior of plane waves with different oblique angles is well known inside and between planar film stacks. In an optical microscope imaging system, plane waves can be extracted from the pupil plane with a coherent point source of illumination. Once plane waves with a specific coherent illumination are analyzed, the partially coherent component of waves could be reconstructed with a proper transfer function, which includes lens aberration, polarization, reflection and refraction in films. It is a new method that we can transfer near light field of a mask into an image on wafer without the disadvantages of indirect SEM measurement such as neglecting effects of mask topography, reflections and refractions in the wafer film stacks. Furthermore, with this precise latent image, a separated resist model also becomes more achievable. An algorithm is proposed to reconstruct the mask image in photoresist in this paper. In addition, the reconstructed image is compared with that obtained with thick mask model.
- Published
- 2012
16. Multiple-image-depth modeling for hotspot and AF printing detections
- Author
-
W. C. Huang, Ru-Gun Liu, Chih-Shiang Chou, T. S. Gau, and Yu-Po Tang
- Subjects
Multiple image ,Resist ,Computer science ,Computer graphics (images) ,Hotspot (geology) ,Wafer ,Algorithm - Abstract
Typical OPC models focus on predicting wafer contour or CD; therefore, the modeling approach emphasizes careful determination of feature and edge locations in the photo-resist (PR) as well as the exposure threshold, so that the 'cut' model image matches the wafer SEM contours or cut-line CDs most closely. This is an exquisite approach with regard to the contour-based OPC, for the model is calibrated directly from wafer CDs. However, for other applications such as hotspot detection or assist feature (AF) printing prediction that might occur at the top or the bottom of the PR, the typical OPC model approach may not be accurate enough. Usually, these kinds of phenomenon can only be properly described by rigorous simulation, which is very time-consuming and hence not suitable for OPC. In this paper, the approach of building the OPC model with multiple image depths will be discussed. This approach references the images at the bottom and/or the top of the PR. This way, the behavior of the images which are not shown at the normal image depth can be predicted more accurately without distorting the optical model. This compromised OPC modeling approach is beneficial for runtime reduction compared to the rigorous simulation, and for better accuracy compared to conventional model. The applications for AF printing and hotspot predictions using the multiple image depth approach will be demonstrated.
- Published
- 2012
17. Layout patterning check for DFM
- Author
-
Y. C. Ku, Chien-Wen Lai, Yung-Sung Yen, W. C. Huang, Ru-Gun Liu, J. F. Lin, I. C. Shih, and Ching-Yu Chang
- Subjects
Design rule checking ,Computer science ,Hardware_INTEGRATEDCIRCUITS ,Hardware_PERFORMANCEANDRELIABILITY ,Lithography ,Design for manufacturability ,Reliability engineering - Abstract
Design rules and the design rule check (DRC) utility are conventional approaches to design for manufacturability (DFM). The DRC utility is based on unsophisticated rules to check the design layout in a simple environment. As the design dimension shrinks drastically, the introduction of a more powerful DFM utility with model-based layout patterning check (LPC) becomes mandatory for designers to filter process weak-points before taping out layouts. In this paper, a system of integrated hotspot scores consisting of three lithography sensitive indexes is proposed to assist designers to circumvent risky layout patterns in lithography. With the hotspot fixing guideline and the hotspot severity classification deduced from the scoring system provided in this paper, designers can deliver much more manufacturable designs.
- Published
- 2008
18. Pellicle effect on OPC modeling
- Author
-
Y. C. Ku, C. W. Lai, Hua-Tai Lin, Wen-Yun Wang, W. C. Huang, Timothy Wu, Chi-Kang Chang, Ru-Gun Liu, Kai-Hsiung Chen, and Boren Luo
- Subjects
Materials science ,business.industry ,Attenuation ,law.invention ,Numerical aperture ,Optics ,Optical proximity correction ,law ,Distortion ,Node (circuits) ,Photolithography ,business ,Lithography ,Immersion lithography - Abstract
As the patterning of IC manufacturing shrinks to the 32-nm node and beyond, high-NA and immersion lithography are required for pushing resolution to its physical limit. To achieve good OPC performance, various physical effects such as polarization, mask topography, and mask pellicle have to be considered to improve the model accuracy. The attenuation and the phase variation of TE and TM wave components induced by the pellicle would impact optical qualities in terms of resolution, distortion, defocus shift, and high-order aberrations. In this paper, the OPC model considering pellicle effects is investigated with Jones pupil. The CD variation induced by the pellicle effect can be predicted accurately. Therefore, the improvement on model accuracy for 32-nm node is demonstrated.
- Published
- 2008
19. Patterning effect and correlated electrical model of post-OPC MOSFET devices
- Author
-
Josh J. H. Feng, Wen-Yun Wang, W. C. Huang, Y. C. Ku, Ying-Chou Cheng, Lai Chih-Ming, Ru-Gun Liu, Tsong-Hua Ou, and Min-Hong Wu
- Subjects
Design rule checking ,Computer science ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit design ,Design for manufacturability ,law.invention ,Process variation ,Optical proximity correction ,law ,Etching ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Photolithography ,Lithography ,Electronic circuit - Abstract
Accurate simulation of today's devices needs to account for real device geometry complexities after the lithography and etching processes, especially when the channel length shrinks to 65-nm and below. The device performance is believed to be quite different from what designers expect in the conventional IC design flow. The traditional design lacks consideration of the photolithography effects and pattern geometrical operations from the manufacturing side. In to order obtain more accurate prediction on circuits, an efficient approach to estimate nonrectangular MOSFET devices is proposed. In addition, an electrical hotspot criterion is also proposed to investigate and verify the manufacturability of devices during patterning processes. This electrical rule criterion will be performed after the regular Design Rule Check (DRC) or Design for Manufacturing (DFM) rule check. Photolithography and industrial-strength SPICE model are taken into consideration to further correlate the process variation. As a result, the correlation between process-windows and driving current variation of devices will be discussed explicitly in this paper.
- Published
- 2007
20. Intelligent model-based OPC
- Author
-
Hua-Tai Lin, Boren Luo, Ru-Gun Liu, Cheng-Cheng Kuo, W. C. Huang, Cheng-Lung Tsai, Ming-Hui Chih, Chien Wen Lai, and Chien-Wen Lai
- Subjects
Engineering ,Radial basis function network ,Artificial neural network ,Optical proximity correction ,Iterative method ,business.industry ,Genetic algorithm ,Evolutionary algorithm ,Radial basis function ,business ,Global optimization ,Algorithm - Abstract
Optical proximity correction is the technique of pre-distorting mask layouts so that the printed patterns are as close to the desired shapes as possible. For model-based optical proximity correction, a lithographic model to predict the edge position (contour) of patterns on the wafer after lithographic processing is needed. Generally, segmentation of edges is performed prior to the correction. Pattern edges are dissected into several small segments with corresponding target points. During the correction, the edges are moved back and forth from the initial drawn position, assisted by the lithographic model, to finally settle on the proper positions. When the correction converges, the intensity predicted by the model in every target points hits the model-specific threshold value. Several iterations are required to achieve the convergence and the computation time increases with the increase of the required iterations. An artificial neural network is an information-processing paradigm inspired by biological nervous systems, such as how the brain processes information. It is composed of a large number of highly interconnected processing elements (neurons) working in unison to solve specific problems. A neural network can be a powerful data-modeling tool that is able to capture and represent complex input/output relationships. The network can accurately predict the behavior of a system via the learning procedure. A radial basis function network, a variant of artificial neural network, is an efficient function approximator. In this paper, a radial basis function network was used to build a mapping from the segment characteristics to the edge shift from the drawn position. This network can provide a good initial guess for each segment that OPC has carried out. The good initial guess reduces the required iterations. Consequently, cycle time can be shortened effectively. The optimization of the radial basis function network for this system was practiced by genetic algorithm, which is an artificially intelligent optimization method with a high probability to obtain global optimization. From preliminary results, the required iterations were reduced from 5 to 2 for a simple dumbbell-shape layout.
- Published
- 2006
21. OPC modeling by genetic algorithm
- Author
-
Ru-Gun Liu, C. C. Kuo, Cherng-Shyan Tsay, C. W. Lai, B. Luo, W. C. Huang, C. M. Lai, H. T. Lin, B. J. Lin, and C. K. Tsai
- Subjects
Engineering ,business.industry ,Regression ,Optical proximity correction ,Resist ,Kernel (statistics) ,Genetic algorithm ,Proximity effect (audio) ,Computer vision ,Artificial intelligence ,business ,Lithography ,Algorithm ,Aerial image - Abstract
Optical proximity correction (OPC) is usually used to pre-distort mask layouts to make the printed patterns as close to the desired shapes as possible. For model-based OPC, a lithographic model to predict critical dimensions after lithographic processing is needed. The model is usually obtained via a regression of parameters based on experimental data containing optical proximity effects. When the parameters involve a mix of the continuous (optical and resist models) and the discrete (kernel numbers) sets, the traditional numerical optimization method may have difficulty handling model fitting. In this study, an artificial-intelligent optimization method was used to regress the parameters of the lithographic models for OPC. The implemented phenomenological models were constant-threshold models that combine diffused aerial image models with loading effects. Optical kernels decomposed from Hopkin’s equation were used to calculate aerial images on the wafer. Similarly, the numbers of optical kernels were treated as regression parameters. This way, good regression results were obtained with different sets of optical proximity effect data.
- Published
- 2005
22. Two threshold resist models for optical proximity correction
- Author
-
Ru-Gun Liu, Burn-Jeng Lin, Jeng-Horng Chen, Yao Ching Ku, Wen-Chun Huang, Chia-Hui Lin, Jyuh-Fuh Lin, C. C. Huang, and Chin-Chen Kuo
- Subjects
Optics ,Resist ,Optical proximity correction ,business.industry ,Chemistry ,Threshold limit value ,Computation ,Line (geometry) ,business ,Constant (mathematics) ,Algorithm ,Aerial image ,Intensity (heat transfer) - Abstract
There have been several kinds of resist model proposed for optical proximity correction. The simplest one is the constant threshold resist model. By this method, only area with intensity above a certain threshold value would be developed. Unfortunately, the constant threshold resist model is too simplified to accurately describe the entire resist processes. To solve this problem, variable threshold resist models were proposed thereafter. The printed resist edge is characterized in terms of the aerial image properties, such as intensity, intensity slope and so forth. More parameters and freedoms are required to describe the complicated chemical reactions of the resist during exposure and development processes. However, the computation time for OPC would increase significantly due to the supplementary calculation of the extra aerial image properties. In this paper, the dual model of constant threshold was proposed to enhance the accuracy of constant threshold resist models. Two constant threshold resist models were determined by model fitting process based on different types of pattern structures. During the correction, one-dimensional and two-dimensional edges are identified first and different constant-threshold models were applied for simulation. Good corrections on both of the one-dimensional line/space widths and two-dimensional line-ends could be achieved. The simulation results were also compared with experimental data.
- Published
- 2004
23. Phenomena and OPC solution of ripple patterns for 65-nm node
- Author
-
Jeng-Horng Chen, Yao Ching Ku, Cheng-Kun Tsai, Burn-Jeng Lin, Ru-Gun Liu, Chien-Wen Lai, Jeng-Shiun Ho, Cherng-Shyan Tsay, and Lai Chih-Ming
- Subjects
Bridging (networking) ,Computer science ,Side lobe ,Ripple ,Segmentation ,Lithography process ,Topology ,Lithography ,Ripple effect ,Simulation ,Necking - Abstract
The ripple patterns induced by the lithography process will lead to unpredictable necking or bridging risks on circuit patterns. This phenomenon is particularly severe while using the attenuated-phase-shifting mask combined with the strong off-axis illumination. The CD variation induced by the ripple effect is difficult to be accurately corrected by conventional OPC approaches. In this paper, ripples on patterning for the 65nm node have been studied and their problems solved. One of the dominant root causes of ripples is the optical side-lobes from the surrounding patterns. On the L-shape patterns for example, the ripples that occur on the horizontal lines are induced by the side-lobes of the vertical lines. Based on this study of the ripple effect, the layout types resulting in ripple patterns can be classified and predicted. An advanced OPC approach by the segmentation analysis on polygons as well as the correction algorithm optimization has been developed and applied to solve this ripple problem.
- Published
- 2004
24. Global CD uniformity improvement in mask manufacturing for advanced lithography
- Author
-
Kuei-Shun Chen, Wen-Chuan Wang, Sheng-Chi Chin, Hsin-Chang Lee, Chi-Lun Lu, Yao Ching Ku, Ru-Gun Liu, Chih-Cheng C. Chin, Ren-Guey Hsieh, Hung-Chang Hsieh, John Lin, Shih-Ming Chang, Cherng-Shyan Tsay, and Yung-Sung Yen
- Subjects
Materials science ,Optics ,Resist ,business.industry ,Process (computing) ,Wafer ,business ,Critical dimension ,Lithography - Abstract
The control of global critical dimension uniformity (GCDU) across the entire mask becomes an important factor for the high-end masks quality. Three major proceses induce GCDU error before after-developing inspection (ADI) including the E-Beam writing, baking, and developing processes. Due to the charging effect, the fogging effect, the vacuum effect and other not-well-known effects, the E-Beam writing process suffers from some consistent GCDU errors. Specifically, the chemical amplified resist (CAR) induces the GCDU error from improper baking. This phenomenon becomes worse with negative CARs. The developing process is also a source of the GCDU error usually appears radially. This paper reports the results of the study of the impact of the global CD uniformity on mask to wafer images. It also proposes solutions to achieve better masks.
- Published
- 2003
25. Mask cost and cycle time reduction
- Author
-
Jaw-Jung Shin, Ru-Gun Liu, Burn Jeng Lin, Angus Chin, Hong-Chang Hsieh, Johnson Chang-Cheng Hung, and Sheng-Cha Lee
- Subjects
Engineering ,business.industry ,Circuit design ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit design ,Integrated circuit layout ,Resist ,Mask set ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Node (circuits) ,Tape-out ,business ,Lithography ,Computer hardware - Abstract
In the IC industry the mask cost and cycle time have increased dramatically since the chip design has become more complex and the required mask specification, tighter. The lithography technology has been driven to 65-nm node and 90-nm product will be manufacturing in 2004, according to ITRS's roadmap. However, the optical exposure tools do not extend to a shorter wavelength as the critical dimension (CD) shrinks. In such sub-wavelength technology generation, the mask error factor (MEF) is normally higher. Higher MEF means that tighter mask specification is required to sustain the lithography performance. The tighter mask specification will impact both mask processing complexity and cost. The mask is no longer a low-cost process. In addition, the number of wafers printed from each mask set is trending down, resulting in a huge investment to tape out a new circuit. Higher cost discourages circuit shrinking, thus, prohibits commercialization of new technology nodes.
- Published
- 2003
26. Mask error tensor and causality of mask error enhancement for low-k 1 imaging: theory and experiments
- Author
-
Ru-Gun Liu, Shinn Sheng Yu, Chun-Kuang Chen, Anthony Yen, Burn Jeng Lin, Jaw-Jung Shin, and Tsai-Sheng Gau
- Subjects
Causality (physics) ,Computer science ,law ,Function (mathematics) ,Tensor ,Photolithography ,Algorithm ,Aerial image ,Image (mathematics) ,law.invention - Abstract
Three important concepts about the mask error enhancement factor (MEEF) are proposed in this paper. From the fundamental assumption, the MEEF is derived to be a function of the image log slope and the aerial image variation caused by mask making error. Secondly, a mask error common window indicator (MECWIN) is proposed to evaluate the MEEF and mask CD specification by knowing the wafer CD tolerance. This concept is used to define the mask CD specification without any ambiguity. Finally, we describe the complex two-dimensional response to the mask making error around the line-end by a mask error enhancement tensor. Both theoretical derivations and experiments to justify the theory are presented in this paper.
- Published
- 2002
27. Model-based OPC for 0.13-μm contacts using 248-nm Att PSM
- Author
-
Ru-Gun Liu, T. C. Wu, Yao Ching Ku, Burn Jeng Lin, Jaw-Jung Shin, and Chun-Kuang Chen
- Subjects
Materials science ,business.industry ,Integrated circuit ,Chip ,law.invention ,Wavelength ,law ,Logic gate ,Proximity effect (audio) ,Electronic engineering ,Optoelectronics ,business ,Random logic ,Critical dimension ,Lithography - Abstract
Controlling errors of critical dimension (CD) uniformity is crucial to achieving optimal IC performance, high chip yield and long lasting reliability. When the CDs to be resolved are less than the wavelength equipped by a lithographic exposure tool, the chip level CD variations caused by optical proximity effect (OPE) have been found significantly. With the relentlessly reduced CDs in integrated circuits the impact of OPE to chip yield and performance is much more profound and necessitates an inverse correction. In this paper, we report a model-based full-chip OPC on the contact hole layer of 0.13-micrometers logic circuits using 248-nm photo processing and attenuated phase-shifting mask (Att PSM). The final result demonstrates that OPE of random logic contact hole level can be greatly surpassed and controlled even with mask errors and their enhancement factors included of which are typically quite significant with layers of contact holes.
- Published
- 2002
28. EUV and e-beam manufacturability: Challenges and solutions.
- Author
-
Chang, Yao-Wen, Ru-Gun Liu, and Fang, Shao-Yun
- Published
- 2015
- Full Text
- View/download PDF
29. Preparation and Electronic Properties of YBa2Cu3Ox Films with Controlled Oxygen Stoichiometries
- Author
-
Kaung-Hsiung Wu, Kaung-Hsiung Wu, primary, Ming-Chih Hsieh, Ming-Chih Hsieh, additional, Shih-Pu Chen, Shih-Pu Chen, additional, Shyh-Chin Chao, Shyh-Chin Chao, additional, Jenh-Yih Juang, Jenh-Yih Juang, additional, Tseng-Ming Uen, Tseng-Ming Uen, additional, Yih-Shung Gou, Yih-Shung Gou, additional, Tseung-Yuen Tseng, Tseung-Yuen Tseng, additional, Chao-Ming Fu, Chao-Ming Fu, additional, Jin-Ming Chen, Jin-Ming Chen, additional, and Ru-Gun Liu, Ru-Gun Liu, additional
- Published
- 1998
- Full Text
- View/download PDF
30. Mask error tensor and causality of mask error enhancement for low-<math display='inline' overflow='scroll'><msub><mi>k</mi><mrow><mn>1</mn></mrow></msub></math> imaging: theory and experiments
- Author
-
Burn Jeng Lin, Ru-Gun Liu, Anthony Yen, Tsai-Sheng Gau, Chun-Kuang Chen, Shinn Sheng Yu, and Jaw-Jung Shin
- Subjects
business.industry ,Mechanical Engineering ,Function (mathematics) ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Image (mathematics) ,law.invention ,Optics ,law ,Line (geometry) ,Tensor ,Electrical and Electronic Engineering ,Photomask ,Photolithography ,business ,Algorithm ,Critical dimension ,Aerial image ,Mathematics - Abstract
Three important concepts about the mask error enhancement factor (MEEF) are proposed. From the definition of MEEF, it could be derived as a function of the image log slope and the aerial image variation caused by mask critical dimension (CD) errors. Second, a mask error common window indicator (MECWIN) is proposed to evaluate the MEEF and mask CD specification by knowing the wafer CD tolerance. This concept is used to define the mask CD specification without any ambiguity. Finally, we describe the complex 2-D response to the mask-making error around the line end by a mask error enhancement tensor. Both theoretical derivations and experiments to justify the theory are presented.
- Published
- 2004
31. Focus latitude enhancement of symmetrical phase mask design for deep submicron contact hole patterning
- Author
-
Li-Jui Chen, Ru-Gun Liu, Jen-Chung Lou, Shuo-Yen Chou, Lin-Hung Shiu, Chien-Ming Wang, and Tsai-Sheng Gau
- Subjects
Diffraction ,Physics ,Spherical aberration ,Depth of focus ,Optics ,Illumination angle ,business.industry ,General Engineering ,Phase-shift mask ,Interference (wave propagation) ,Focus (optics) ,business ,Numerical aperture - Abstract
The mechanism of focus latitude enhancement for contact/via hole printing is explained by approximating the axis intensity distribution of an image as a series of cosine functions to characterize the interference between each pair of diffraction beams. It is found that a phase-shifting mask (PSM) with symmetrical assist features improves the depth of focus (DOF) by introducing destructive interference to counterbalance the intensity fluctuation from constructive interference as defocus. A simple formula was derived to represent the capability of focus latitude enlargement. It shows that the extent of enhancement depends on the exposure wavelength and numerical aperture of a projection lens only. Increasing the degree of partial coherence degrades the focal range enlargement because a larger illumination angle elongates the destructive interference pattern in the optical-axis direction to weaken its ability for intensity compensation. On the other hand, the lack of constructive interference in dense hole imaging fails the mask pattern transfer, which limits the application of the phase-shifting method to pattern pitch greater than 2λ/NA. A tiny amount of spherical aberration results in prominent asymmetrical defocus behavior because the wave deformation in the projection lens shifts the distribution of constructive and destructive interference patterns to opposite defocus directions. The printing characteristics of 0.17 μm contact using an 18% transmission, rim-type attenuated phase-shifting mask are investigated to corroborate our analysis of defocus behavior. The dependence of depth of focus on pattern duty is stressed to elucidate the difference in mechanisms of focus latitude improvements for a sparse hole and periodic dense hole.
- Published
- 2001
32. Preparation and Electronic Properties of YBa2Cu3Ox Films with Controlled Oxygen Stoichiometries
- Author
-
Ru Gun Liu, Yih Shung Gou, Kaung-Hsiung Wu, Jenh-Yih Juang, Ming Chih Hsieh, Jin-Ming Chen, Tseung-Yuen Tseng, Shih Pu Chen, Tseng Ming Uen, Chao Ming Fu, and Shyh Chin Chao
- Subjects
X-ray absorption spectroscopy ,Materials science ,Absorption spectroscopy ,Fermi level ,General Engineering ,Analytical chemistry ,General Physics and Astronomy ,chemistry.chemical_element ,Electronic structure ,Oxygen ,symbols.namesake ,chemistry ,Electrical resistivity and conductivity ,Condensed Matter::Superconductivity ,symbols ,Cuprate ,Stoichiometry - Abstract
We describe a novel technique capable of controlling the oxygen content of YBa2Cu3O x (YBCO) films in a precise and reversible manner. The temperature dependence of resistivity and the distinct two-plateau behavior in critical temperature T co versus oxygen content plot of these films are consistent with those observed in the bulk and single crystals of YBCO. The O 1s and Cu 2p absorption spectra of these films were measured by polarization-dependent X-ray absorption spectroscopy (XAS). The intensity variations of the pre-edge peaks as a function of oxygen content are discussed. We also used these films to systematically study the electron-phonon coupling strength and the position of Fermi level by using a femtosecond pump-probe technique. A clear sign-reversal of the transient reflectivity, which was consistently explained by the thermomodulation model, was observed. Both of these optical measurements support the idea that the electronic structure of YBCO cuprates is based on the charge transfer model with hybridization between the Cu and O sites.
- Published
- 1998
33. Preparation and Electronic Properties of YBa2Cu3OxFilms with Controlled Oxygen Stoichiometries
- Author
-
Kaung-Hsiung Wu, Kaung-Hsiung Wu, Ming-Chih Hsieh, Ming-Chih Hsieh, Shih-Pu Chen, Shih-Pu Chen, Shyh-Chin Chao, Shyh-Chin Chao, Jenh-Yih Juang, Jenh-Yih Juang, Tseng-Ming Uen, Tseng-Ming Uen, Yih-Shung Gou, Yih-Shung Gou, Tseung-Yuen Tseng, Tseung-Yuen Tseng, Chao-Ming Fu, Chao-Ming Fu, Jin-Ming Chen, Jin-Ming Chen, and Ru-Gun Liu, Ru-Gun Liu
- Abstract
We describe a novel technique capable of controlling the oxygen content of YBa2Cu3Ox(YBCO) films in a precise and reversible manner. The temperature dependence of resistivity and the distinct two-plateau behavior in critical temperature Tcoversus oxygen content plot of these films are consistent with those observed in the bulk and single crystals of YBCO. The O 1sand Cu 2pabsorption spectra of these films were measured by polarization-dependent X-ray absorption spectroscopy (XAS). The intensity variations of the pre-edge peaks as a function of oxygen content are discussed. We also used these films to systematically study the electron-phonon coupling strength and the position of Fermi level by using a femtosecond pump-probe technique. A clear sign-reversal of the transient reflectivity, which was consistently explained by the thermomodulation model, was observed. Both of these optical measurements support the idea that the electronic structure of YBCO cuprates is based on the charge transfer model with hybridization between the Cu and O sites.
- Published
- 1998
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.