Search

Your search keyword '"Naulleau, Patrick P."' showing total 1,018 results

Search Constraints

Start Over You searched for: Author "Naulleau, Patrick P." Remove constraint Author: "Naulleau, Patrick P."
1,018 results on '"Naulleau, Patrick P."'

Search Results

2. Near total reflection X-ray photoelectron spectroscopy: Quantifying chemistry at solid/liquid and solid/solid interfaces

3. Mechanistic Advantages of Organotin Molecular EUV Photoresists

4. Picometer sensitivity metrology for EUV absorber phase

5. Three-dimensional modeling of EUV photoresist using the multivariate Poisson propagation model

6. Chemical and structural characterization of EUV photoresists as a function of depth by standing-wave x-ray photoelectron spectroscopy

7. Additive Lithography–Organic Monolayer Patterning Coupled with an Area-Selective Deposition

8. Single-shot large field of view Fourier transform holography with a picosecond plasma-based soft X-ray laser.

9. Extreme ultraviolet microscope characterization using photomask surface roughness.

10. Investigating extreme ultraviolet radiation chemistry with first-principles quantum chemistry calculations

11. Estimation of Line Cross Sections Using Critical-Dimension Grazing-Incidence Small-Angle X-Ray Scattering

13. EUV Lithography

14. Reconstructing the three-dimensional latent image of extreme ultraviolet resists with resonant soft x-ray scattering

15. Upgrade to the SHARP EUV mask microscope

16. Overview and status of the 0.5NA EUV microfield exposure tool at Berkeley Lab

17. Achieving diffraction-limited performance on the Berkeley MET5

18. Modeling of novel resist technologies

19. Fundamental understanding of chemical processes in extreme ultraviolet resist materials

20. Lateral shearing interferometry for high-NA EUV wavefront metrology

21. EUV photolithography mask inspection using Fourier ptychography

22. Extreme ultraviolet mask roughness effects in high numerical aperture lithography.

23. Using resonant soft x-ray scattering to image patterns on undeveloped resists

24. Efficient Fresnel zoneplate pattern data preparation for high-resolution nanofabrication

26. Advanced processes in metal-oxide resists for high-NA EUV lithography

27. Amplitude versus phase effects in extreme ultraviolet lithography mask scattering and imaging.

28. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

32. Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope

33. Quantitative phase retrieval with arbitrary pupil and illumination

35. Phase measurements of EUV mask defects:

36. Enabling EUV Resist Research at the 1x and Smaller Regime

37. Biological soft X‐ray tomography on beamline 2.1 at the Advanced Light Source

40. Studying Resist Stochastics with the Multivariate Poisson Propagation Model

Catalog

Books, media, physical & digital resources