1,571 results on '"Naulleau, Patrick"'
Search Results
2. Near total reflection X-ray photoelectron spectroscopy: Quantifying chemistry at solid/liquid and solid/solid interfaces
- Author
-
Martins, Henrique P., Conti, Giuseppina, Cordova, Isvar, Falling, Lorenz, Kersell, Heath, Salmassi, Farhad, Gullikson, Eric, Vishik, Inna, Baeumer, Christoph, Naulleau, Patrick, Schneider, Claus M., and Nemsak, Slavomir
- Subjects
Physics - Applied Physics ,Physics - Chemical Physics - Abstract
Near total reflection regime has been widely used in X-ray science, specifically in grazing incidence small angle X-ray scattering and in hard X-ray photoelectron spectroscopy. In this work, we introduce some practical aspects of using near total reflection in ambient pressure X-ray photoelectron spectroscopy and apply this technique to study chemical concentration gradients in a substrate/photoresist system. Experimental data are accompanied by X-ray optical and photoemission simulations to quantitatively probe the photoresist and the interface with the depth accuracy of ~1 nm. Together, our calculations and experiments confirm that near total reflection X-ray photoelectron spectroscopy is a suitable method to extract information from buried interfaces with highest depth-resolution, which can help address open research questions regarding our understanding of concentration profiles, electrical gradients, and charge transfer phenomena at such interfaces. The presented methodology is especially attractive for solid/liquid interface studies, since it provides all the strengths of a Bragg-reflection standing-wave spectroscopy without the need of an artificial multilayer mirror serving as a standing wave generator, thus dramatically simplifying the sample synthesis., Comment: 13 pages, 4 figures Supplemental Information
- Published
- 2021
- Full Text
- View/download PDF
3. Mechanistic Advantages of Organotin Molecular EUV Photoresists
- Author
-
H., Jonathan, Needham, Craig, Wang, Han, Neureuther, Andrew, Prendergast, David, and Naulleau, Patrick
- Subjects
Chemical Sciences ,Physical Chemistry ,lithography ,EUV ,photoresist ,organotin compounds ,radiation chemistry ,DFT ,Engineering ,Nanoscience & Nanotechnology ,Chemical sciences ,Physical sciences - Abstract
Extreme ultraviolet (EUV)-induced radiation exposure chemistry in organotin-oxo systems, represented by the archetypal [(R-Sn)12O14(OH)6](A)2 cage, has been investigated with density functional theory. Upholding existing experimental evidence of Sn-C cleavage-dominant chemistry, computations have revealed that either electron attachment or ionization can single-handedly trigger tin-carbon bond cleavage, partially explaining the current EUV sensitivity advantage of metal oxide systems. We have revealed that tin atoms at different parts of the molecule react differently to ionization and electron attachment and have identified such selectivity as a result of local coordination chemistry instead of the macro geometry of the molecule. An ionization-deprotonation pathway has also been identified to explain the observed evolution of an anion conjugate acid upon exposure and anion mass dependence in resist sensitivity.
- Published
- 2022
4. Picometer sensitivity metrology for EUV absorber phase
- Author
-
Sherwin, Stuart, Cordova, Isvar, Miyakawa, Ryan, Benk, Markus, Waller, Laura, Neureuther, Andrew, and Naulleau, Patrick
- Subjects
Engineering ,Electronics ,Sensors and Digital Hardware ,EUV absorber ,attenuated phase-shift mask ,reflectometry ,phase measurement ,photomask contamination ,mask three-dimensional ,Optical Physics ,Electrical and Electronic Engineering ,Nanoscience & Nanotechnology ,Electronics ,sensors and digital hardware - Abstract
With growing interest in EUV attenuated phase-shift masks due to their superior image quality for applications such as dense contact and pillar arrays, it is becoming critical to model, measure, and monitor the intensity and relative phase of multilayer and absorber reflections. We present a solution based on physical modeling of reflectometry data, which can achieve single picometer phase precision and sensitivity to changes in average film thickness below one atomic monolayer. We measure absorber and multilayer reflectivity to determine thin-film parameters with a multidimensional optimization and then acquire a new measurement of either multilayer or absorber to determine perturbations in surface contamination thickness. While it is difficult to assess the accuracy of the first step, the simplicity of the second step allows us to characterize our sensitivity to changes in contamination thickness. We apply this analysis using an initial set of measurements and repeated measurements after a period of storage. For the multilayer, the total contamination growth was 1068 pm, which occurred almost exclusively during storage (1085 pm) and decreased very slightly during repeated measurements (-17 pm). For the absorber, the behavior was quite different, with a total growth of 126 pm, which occurred much less during storage (28 pm) and primarily during repeated measurements (98 pm). Ultimately, the change in relative phase (absorber minus multilayer) was -0.86 deg for the multilayer and -1.12 deg for the absorber. We estimate the precision of the surface contamination measurement to be 3σ < 6 pm for measuring thickness and 3σ < 0.2 deg for measuring phase.
- Published
- 2021
5. Three-dimensional modeling of EUV photoresist using the multivariate Poisson propagation model
- Author
-
Long, Luke T, Neureuther, Andrew R, and Naulleau, Patrick P
- Subjects
Engineering ,Electronics ,Sensors and Digital Hardware ,photoresist ,stochastics ,acid blur ,Optical Physics ,Electrical and Electronic Engineering ,Nanoscience & Nanotechnology ,Electronics ,sensors and digital hardware - Abstract
Background: As target feature sizes for EUV lithography shrink, it is becoming ever more important to understand the intricate details of photoresist materials, including the role of the "third dimension"- the dimension perpendicular to the wafer. With resist thicknesses shrinking toward the single-digit nanometer scale alongside target linewidths, small changes in resist performance in this dimension will have a greater overall effect on pattern quality. Aim: To use modeling to understand the effect that the third dimension has on resist performance, in particular the interplay between the third dimension and resist stochastics. Approach: We developed a three-dimensional version of the multivariate Poisson propagation model, a stochastic resist simulator. As a test case for the model, we explore the role of acid diffusion in the so-called third dimension by simulating 105 vias at a series of z-blur conditions. Results: Our model suggests that increased z-blur yields an improvement in both dose to size and pattern uniformity without sacrificing resolution. Conclusions: We have developed a 3D resist model that can simulate large numbers of contacts. Early results from the 3D model show improved patterning performance can be achieved by increasing the z-blur.
- Published
- 2021
6. Chemical and structural characterization of EUV photoresists as a function of depth by standing-wave x-ray photoelectron spectroscopy
- Author
-
Conti, Giuseppina, Martins, Henrique P, Cordova, Isvar A, Ma, Jonathan, Wojtecki, Rudy J, Naulleau, Patrick, and Nemšák, Slavomír
- Subjects
Engineering ,Electronics ,Sensors and Digital Hardware ,photoresist films characterization ,x-ray photoelectron spectroscopy ,x-ray standing waves ,self-assembled monolayer ,EUV photoresists ,Optical Physics ,Electrical and Electronic Engineering ,Nanoscience & Nanotechnology ,Electronics ,sensors and digital hardware - Abstract
The success in the miniaturization of the electronic device constituents depends mostly on the photolithographic techniques. Recently, to achieve patterning at the sub-10-nm node, extreme ultraviolet (EUV) lithography has been introduced into high volume production. Continued scaling of EUV via increased numerical aperture to achieve nodes at 3-nm and below requires the development of fundamentally new patterning materials and new characterization methods. Current EUV-resist film thicknesses are in the 20- to 40-nm range, and further thickness reduction is required for the next generation. Therefore, interfaces become exceedingly important, and the properties of the resist film would be dominated by top and bottom interfacial effects. X-ray photoelectron spectroscopy (XPS) combined with standing-wave excitation (SW-XPS), a fairly new method in the EUV lithography field, previously had been largely applied in multilayers and superlattices for characterizing the composition and electronic structure of buried layers and interfaces as a function of depth. We applied the SW-XPS method to organic/inorganic photoresists to provide depth-selective information on their structural and chemical conditions of as a function of temperature, EUV exposure, different underlayers, and other fundamental parameters. As a first attempt, we perform an SW-XPS feasibility study on self-assembled monolayer (SAM) films after exposure to an electron beam. By SW-XPS, we determined that the interface between the Al2O3 underlayer and the SAMs is smooth, with a mean roughness of about 0.2 nm. Moreover, we determined that the SAM chains are, on average, tilted by 1/430 deg off the sample normal. The SW-XPS results also suggest that the SAM is not a perfectly aligned and uniform monolayer, with some areas having thickness higher than a single monolayer. We demonstrated that SW-XPS can provide useful information on ultrathin materials with high potential for being used as a characterization method of organic/inorganic photoresists.
- Published
- 2021
7. Additive Lithography–Organic Monolayer Patterning Coupled with an Area-Selective Deposition
- Author
-
Wojtecki, Rudy, Ma, Jonathan, Cordova, Isvar, Arellano, Noel, Lionti, Krystelle, Magbitang, Teddie, Pattison, Thomas G, Zhao, Xiao, Delenia, Eugene, Lanzillo, Nicholas, Hess, Alexander E, Nathel, Noah Fine, Bui, Holt, Rettner, Charles, Wallraff, Gregory, and Naulleau, Patrick
- Subjects
Physical Sciences ,Engineering ,Chemical Sciences ,Nanotechnology ,atomic layer deposition ,area-selective deposition ,self-assembled monolayers ,nanolithography ,photocrosslinking ,Nanoscience & Nanotechnology ,Chemical sciences ,Physical sciences - Abstract
The combination of area-selective deposition (ASD) with a patternable organic monolayer provides a versatile additive lithography platform, enabling the generation of a variety of nanoscale feature geometries. Stearate hydroxamic acid self-assembled monolayers (SAMs) were patterned with extreme ultraviolet (λ = 13.5 nm) or electron beam irradiation and developed with ASD to achieve line space patterns as small as 50 nm. Density functional theory was employed to aid in the synthesis of hydroxamic acid derivatives with optimized packing density to enhance the imaging contrast and improve dose sensitivity. Near-edge X-ray absorption fine structure spectroscopy and infrared spectroscopy reveal that the imaging mechanism is based on improved deposition inhibition provided by the cross-linking of the SAM to produce a more effective barrier during a subsequent deposition step. With patterned substrates composed of coplanar copper lines and silicon spacers, hydroxamic acids selectively formed monolayers on the metal portions and could undergo a pattern-wise exposure followed by ASD in the first combination of a patternable monolayer with ASD. This material system presents an additional capability compared to traditional ASD approaches that generally reflect a starting patterned surface. Furthermore, this bottoms-up additive approach to lithography may be a viable alternative to subtractive nanoscale feature generation.
- Published
- 2021
8. Single-shot large field of view Fourier transform holography with a picosecond plasma-based soft X-ray laser.
- Author
-
Wang, Shoujun, Rockwood, Alex, Wang, Yong, Chao, Wei-Lun, Naulleau, Patrick, Song, Huanyu, Menoni, Carmen S, Marconi, Mario, and Rocca, Jorge J
- Subjects
Quantum Physics ,Physical Sciences ,Bioengineering ,Optical Physics ,Electrical and Electronic Engineering ,Communications Technologies ,Optics ,Communications engineering ,Electronics ,sensors and digital hardware ,Atomic ,molecular and optical physics - Abstract
It is challenging to obtain nanoscale resolution images in a single ultrafast shot because a large number of photons, greater than 1011, are required in a single pulse of the illuminating source. We demonstrate single-shot high resolution Fourier transform holography over a broad 7 µm diameter field of view with ∼ 5 ps temporal resolution. The experiment used a plasma-based soft X-ray laser operating at 18.9 nm wavelength with nearly full spatial coherence and close to diffraction-limited divergence implemented utilizing a dual-plasma amplifier scheme. A Fresnel zone plate with a central aperture is used to efficiently generate the object and reference beams. Rapid numerical reconstruction by a 2D Fourier transform allows for real-time imaging. A half-pitch spatial resolution of 62 nm was obtained. This single-shot nanoscale-resolution imaging technique will allow for real-time ultrafast imaging of dynamic phenomena in compact setups.
- Published
- 2020
9. Extreme ultraviolet microscope characterization using photomask surface roughness.
- Author
-
Gunjala, Gautam, Wojdyla, Antoine, Sherwin, Stuart, Shanker, Aamod, Benk, Markus P, Goldberg, Kenneth A, Naulleau, Patrick P, and Waller, Laura
- Abstract
We demonstrate a method for characterizing the field-dependent aberrations of a full-field synchrotron-based extreme ultraviolet microscope. The statistical uniformity of the inherent, atomic-scale roughness of readily-available photomask blanks enables a self-calibrating computational procedure using images acquired under standard operation. We characterize the aberrations across a 30-um field-of-view, demonstrating a minimum aberration magnitude of smaller than [Formula: see text] averaged over the center 5-um area, with a measurement accuracy better than [Formula: see text]. The measured field variation of aberrations is consistent with system geometry and agrees with prior characterizations of the same system. In certain cases, it may be possible to additionally recover the illumination wavefront from the same images. Our method is general and is easily applied to coherent imaging systems with steerable illumination without requiring invasive hardware or custom test objects; hence, it provides substantial benefits when characterizing microscopes and high-resolution imaging systems in situ.
- Published
- 2020
10. Investigating extreme ultraviolet radiation chemistry with first-principles quantum chemistry calculations
- Author
-
H., Jonathan, Wang, Han, Prendergast, David, Neureuther, Andrew, and Naulleau, Patrick
- Subjects
Engineering ,Electronics ,Sensors and Digital Hardware ,extreme ultraviolet lithography ,extreme ultraviolet exposure chemistry ,photoacid generator chemistry ,radiation chemistry ,extreme ultraviolet fundamentals ,Optical Physics ,Electrical and Electronic Engineering ,Nanoscience & Nanotechnology ,Electronics ,sensors and digital hardware - Abstract
In extreme ultraviolet (EUV) lithography, chemistry is driven by secondary electrons. A deeper understanding of these processes is needed. However, electron-driven processes are inherently difficult to experimentally characterize for EUV materials, impeding targeted material engineering. A computational framework is needed to provide information for rational material engineering and identification at a molecular level. We demonstrate that density functional theory calculations can fulfill this purpose. We first demonstrate that primary electron energy spectrum can be predicted accurately. Second, the dynamics of a photoacid generator upon excitation or electron attachment are studied with ab-initio molecular dynamics calculations. Third, we demonstrate that electron attachment affinity is a good predictor of reduction potential and dose to clear. The correlation between such calculations and experiments suggests that these methods can be applied to computationally screen and design molecular components of EUV material and speed up the development process.
- Published
- 2020
11. Estimation of Line Cross Sections Using Critical-Dimension Grazing-Incidence Small-Angle X-Ray Scattering
- Author
-
Freychet, Guillaume, Kumar, Dinesh, Pandolfi, Ron J, Naulleau, Patrick, Cordova, Isvar, Ercius, Peter, Song, Chengyu, Strzalka, Joseph, and Hexemer, Alexander
- Subjects
Nuclear and Plasma Physics ,Physical Sciences ,Engineering ,Physical sciences - Abstract
The semiconductor industry is continuously pushing the limits of photolithography, with feature sizes now smaller than 10 nm. To ensure quality, it has become necessary to look beyond the conventional metrological techniques. X-ray scattering has emerged as a possible contender to determine the average shape of a line grating with subnanometer precision. However, to fulfill its promise, faster algorithms must also be developed to interpret and extract metrics from reciprocal-space scattering data. In this paper, we present a fast and accurate x-ray technique and analysis algorithm: critical-dimension grazing-incidence small-angle x-ray scattering (CD GISAXS). The CD GISAXS technique is used in grazing-incidence configuration with a continuous azimuthal rotation of the sample, and thus does not require high-energy x-rays to penetrate the wafer and greatly reduces the data-acquisition times, permitting analysis within the framework of the distorted-wave Born approximation.
- Published
- 2019
12. Preparing for the Next Generation of EUV Lithography at the Center for X-ray Optics
- Author
-
Miyakawa, Ryan and Naulleau, Patrick
- Subjects
Atomic ,Molecular and Optical Physics ,Physical Sciences ,Optical Physics ,Atomic ,molecular and optical physics - Published
- 2019
13. EUV Lithography
- Author
-
Naulleau, Patrick
- Subjects
Atomic ,Molecular and Optical Physics ,Physical Sciences ,Optical Physics ,Atomic ,molecular and optical physics - Published
- 2019
14. Reconstructing the three-dimensional latent image of extreme ultraviolet resists with resonant soft x-ray scattering
- Author
-
Freychet, Guillaume, Cordova, Isvar A, McAfee, Terry, Kumar, Dinesh, Pandolfi, Ronald J, Anderson, Chris, Dhuey, Scott D, Naulleau, Patrick, Wang, Cheng, and Hexemer, Alexander
- Subjects
Engineering ,Electronics ,Sensors and Digital Hardware ,x-ray scattering ,resonant soft x-ray scattering ,extreme ultraviolet lithography ,latent image ,critical-dimension grazing-incidence small-angle x-ray scattering ,near-edge x-ray absorption fine structure ,Optical Physics ,Electrical and Electronic Engineering ,Nanoscience & Nanotechnology ,Electronics ,sensors and digital hardware - Abstract
Extreme ultraviolet (EUV) lithography is one of the most promising printing techniques for high-volume semiconductor manufacturing at the 14-nm half-pitch device node and beyond. However, key challenges around EUV photoresist materials, such as the exposure-dose sensitivity or the line-width roughness, continue to impede its full adoption into industrial nanofab facilities. Metrology tools are required to address these challenges by helping to assess the impact of the EUV materials' properties and processing conditions along different steps of the nanofabrication process. We apply the resonant soft x-ray scattering (RSoXS) technique to gain insights into the structure of patterned EUV resists before the development step takes place. By using energies around the carbon K-edge to take advantage of small differences in chemistry, the electronic density contrast between the exposed and unexposed regions of the resists could be enhanced in order to image the patterns with subnanometer precision. Critical-dimension grazing-incidence small-angle x-ray scattering is then performed at energies where the contrast is maximized, enabling the reconstruction of the three-dimensional shape of the latent image. We demonstrate the potential of RSoXS to provide a high-resolution height-sensitive profile of patterned EUV resists, which will help in quantifying the evolution of critical features, such as the line-edge roughness, at a key step of the nanofabrication process.
- Published
- 2019
15. Upgrade to the SHARP EUV mask microscope
- Author
-
Benk, Markus, Chao, Weilun, Miyakawa, Ryan, Goldberg, Kenneth, and Naulleau, Patrick
- Subjects
Medical and Biological Physics ,Physical Sciences ,EUV ,mask ,photomask ,microscope ,aerial image ,zoneplate ,SHARP ,Communications engineering ,Electronics ,sensors and digital hardware ,Atomic ,molecular and optical physics - Abstract
The Sharp High-NA Actinic Reticle review Project (SHARP) is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. A potential upgrade to the SHARP microscope is presented. The upgrade includes changing the light path in the instrument from its current off-Axis configuration to an on-Axis configuration. This change allows for an increased working distance of 2.5 mm or more. A central obscuration, added to the zoneplate aperture, blocks stray light from reaching the central part of the image, thus improving the image contrast. The imaging performance of the two configurations is evaluated by means of ray tracing.
- Published
- 2019
16. Overview and status of the 0.5NA EUV microfield exposure tool at Berkeley Lab
- Author
-
Anderson, Christopher, Allezy, Arnaud, Chao, Weilun, Cork, Carl, Cork, Will, Delano, Rene, DePonte, Jason, Dickinson, Michael, Gaines, Geoff, Gamsby, Jeff, Gullikson, Eric, Jones, Gideon, Meyers, Stephen, Miyakawa, Ryan, Naulleau, Patrick, Rekawa, Senajith, Salmassi, Farhad, Vollmer, Brandon, Zehm, Daniel, and Zhu, Wenhua
- Subjects
Language ,Communication and Culture ,Linguistics ,Physical Sciences ,Communications engineering ,Electronics ,sensors and digital hardware ,Atomic ,molecular and optical physics - Abstract
A 0.5-NA extreme ultraviolet micro-field exposure tool has been installed and commissioned at beamline 12.0.1.4 of the Advanced Light Source synchrotron facility at Lawrence Berkeley National Laboratory. Commissioning has demonstrated a patterning resolution of 13 nm half-pitch with annular 0.35-0.55 illumination; a patterning resolution of 8 nm half-pitch with annular 0.1-0.2 illumination; critical dimension (CD) uniformity of 0.7 nm 1σ on 16 nm nominal CD across 80% of the 200 um x 30 um aberration corrected field of view; aerial image vibration relative to the wafer of 0.75 nn RMS and focus control and focus stepping better than 15 nm.
- Published
- 2019
17. Achieving diffraction-limited performance on the Berkeley MET5
- Author
-
Miyakawa, Ryan, Anderson, Chris, Zhu, Wenhua, Gaines, Geoff, Gamsby, Jeff, Cork, Carl, Jones, Gideon, Dickenson, Michael, Rekawa, Seno, Chao, Weilun, Oh, Sharon, and Naulleau, Patrick
- Subjects
Quantum Physics ,Physical Sciences ,Communications engineering ,Electronics ,sensors and digital hardware ,Atomic ,molecular and optical physics - Abstract
The Berkeley MET5, funded by EUREKA, is a 0.5-NA EUV projection lithography tool located at the Advanced Light Source at Berkeley National Lab. Wavefront measurements of the MET5 optic have been performed using a custom in-situ lateral shearing interferometer suitable for high-NA interferometry. In this paper, we report on the most recent characterization of the MET5 optic demonstrating an RMS wavefront 0.31 nm, and discuss the specialized mask patterns, gratings, and illumination geometries that were employed to accommodate the many challenges associated with high-NA EUV interferometry.
- Published
- 2019
18. Modeling of novel resist technologies
- Author
-
Long, Luke, Neureuther, Andrew R, and Naulleau, Patrick P
- Subjects
Communications Engineering ,Engineering ,Electronics ,Sensors and Digital Hardware ,Communications engineering ,Electronics ,sensors and digital hardware ,Atomic ,molecular and optical physics - Abstract
In response to the difficulties posed by the resolution, line edge roughness, sensitivity (RLS) trade-off to traditional chemically amplified resist (CAR) systems used for extreme ultraviolet lithography, a number of novel resist technologies have been proposed. In this paper, the effect of quencher loading on three resist technologies is analyzed via an error propagation-based resist simulator. In order of increasing novelty as well as complexity, they are: Conventional CAR with quencher, CAR with photodecomposable base, and PSCAR 2.0, a CAR system with photodecomposable base as well as an EUV-activated UV-sensitive resist component. Simulation finds the more complicated resist systems trade in an increase in resist stochastics for improved deprotection slopes, yielding a net benefit in terms of line width roughness.
- Published
- 2019
19. Fundamental understanding of chemical processes in extreme ultraviolet resist materials
- Author
-
Kostko, Oleg, Xu, Bo, Ahmed, Musahid, Slaughter, Daniel S, Ogletree, D Frank, Closser, Kristina D, Prendergast, David G, Naulleau, Patrick, Olynick, Deirdre L, Ashby, Paul D, Liu, Yi, Hinsberg, William D, and Wallraff, Gregory M
- Subjects
Physical Sciences ,Chemical Sciences ,Atomic ,Molecular and Optical Physics ,Physical Chemistry ,CSD-04-GPCP-A ,CSD-46-All CSGB ,Engineering ,Chemical Physics ,Chemical sciences ,Physical sciences - Abstract
New photoresists are needed to advance extreme ultraviolet (EUV) lithography. The tailored design of efficient photoresists is enabled by a fundamental understanding of EUV induced chemistry. Processes that occur in the resist film after absorption of an EUV photon are discussed, and a new approach to study these processes on a fundamental level is described. The processes of photoabsorption, electron emission, and molecular fragmentation were studied experimentally in the gas-phase on analogs of the monomer units employed in chemically amplified EUV resists. To demonstrate the dependence of the EUV absorption cross section on selective light harvesting substituents, halogenated methylphenols were characterized employing the following techniques. Photoelectron spectroscopy was utilized to investigate kinetic energies and yield of electrons emitted by a molecule. The emission of Auger electrons was detected following photoionization in the case of iodo-methylphenol. Mass-spectrometry was used to deduce the molecular fragmentation pathways following electron emission and atomic relaxation. To gain insight on the interaction of emitted electrons with neutral molecules in a condensed film, the fragmentation pattern of neutral gas-phase molecules, interacting with an electron beam, was studied and observed to be similar to EUV photon fragmentation. Below the ionization threshold, electrons were confirmed to dissociate iodo-methylphenol by resonant electron attachment.
- Published
- 2018
20. Lateral shearing interferometry for high-NA EUV wavefront metrology
- Author
-
Zhu, Wenhua, Miyakawa, Ryan, Chen, Lei, and Naulleau, Patrick
- Subjects
Quantum Physics ,Physical Sciences ,Communications engineering ,Electronics ,sensors and digital hardware ,Atomic ,molecular and optical physics - Abstract
We present a lateral shearing interferometer suitable for high-NA EUV wavefront metrology. In this interferometer, a geometric model is used to accurately characterize and predict systematic errors that come from performing interferometry at high NA. This interferometer is compatible with various optical geometries, including systems where the image plane is tilted with respect to the optical axis, as in the Berkeley MET5. Simulation results show that the systematic errors in tilted geometries can be reduced by aligning the shearing interferometer grating and detector parallel to the image plane. Subsequent residual errors can be removed by linear fitting.
- Published
- 2018
21. EUV photolithography mask inspection using Fourier ptychography
- Author
-
Wojdyla, Antoine, Benk, Markus P, Naulleau, Patrick P, and Goldberg, Kenneth A
- Subjects
Physical Sciences ,Engineering ,Nanotechnology ,Biomedical Imaging ,x-ray optics ,computational imaging ,Fourier ptychography ,synchrotron light source ,EUV lithography ,phase imaging ,computational lithography ,image processing ,Communications engineering ,Electronics ,sensors and digital hardware ,Atomic ,molecular and optical physics - Abstract
Fourier ptychography is a computational imaging techniques that combines various full-field coherent images acquired under varied illumination angles and combined to yield a angular spectrum with a large synthetic numerical aperture and non-interferometric phase information. We present here the implementation of this technique in a full-field soft x-ray microscope designed to emulate modern EUV lithography tools imaging conditions, and we show that this technique can be used for the study of EUV photomasks. The technique allows us to quantitatively characterize phase defects (predominant in EUV lithography), to study new mask designs made of phase structures, to study sub-resolution assist features and extend the resolution of the microscope down to 26-nm, correspond to the N1 technology node.
- Published
- 2018
22. Extreme ultraviolet mask roughness effects in high numerical aperture lithography.
- Author
-
Naulleau, Patrick, Wang, Yow-Gwo, and Pistor, Tom
- Subjects
Communications Engineering ,Engineering ,Optical Physics ,Electrical and Electronic Engineering ,Mechanical Engineering ,Optics ,Electrical engineering ,Atomic ,molecular and optical physics - Abstract
Given the reflective nature of extreme ultraviolet lithography and its extremely short operational wavelength, roughness of the optical surfaces is of significant concern. In particular, roughness in the mask multilayer leads to image plane speckle and ultimately patterned line-edge or line-width variability in the imaging process. Here we consider the implications of this effect for future high numerical aperture (NA) systems that are assumed to require anamorphic magnification projection optics. The results show significant anisotropic behavior at high NA as well as a substantial increase in relative patterned line variability in the shadowed direction when comparing 0.55 NA to 0.33 NA, despite the assumption of an anamorphic magnification system. The shadowed-direction patterned line variability is 2× larger than for unshadowed lines, and the majority of the increase in variability occurs in the low frequency regime.
- Published
- 2018
23. Using resonant soft x-ray scattering to image patterns on undeveloped resists
- Author
-
Freychet, Guillaume, Cordova, Isvar A, McAfee, Terry, Kumar, Dinesh, Pandolfi, Ronald J, Anderson, Chris, Naulleau, Patrick, Wang, Cheng, and Hexemer, Alexander
- Subjects
Macromolecular and Materials Chemistry ,Engineering ,Chemical Sciences ,Physical Sciences ,Communications engineering ,Electronics ,sensors and digital hardware ,Atomic ,molecular and optical physics - Abstract
Extreme ultraviolet lithography is one of the most promising printing techniques for high volume semiconductor manufacturing at the 14 nm half-pitch device node and beyond. However, key challenges around EUV photoresist materials such as the exposure-dose sensitivity or the line-width roughness continue to impede the full adoption into industrial nanofab facilities. New metrology tools are required to address these challenges by helping to determine the impact of the EUV materials' properties and processing conditions on the roughness through the different step of the process. Here, we apply the resonant soft x-ray scattering (RSOXS) technique to gain insights into the structure of patterned EUV resists before the development step takes place. By using energies around the carbon absorption edge to take advantage of small differences in chemistry, the electronic density contrast between the exposed and unexposed regions of the resists could be enhanced in order to image the patterns with sub-nm precision. Critical-dimension grazing incidence small-angle X-ray scattering (CDGISAXS) was then performed at energies where the contrast was maximized, enabling the reconstruction of the 3D shape of the latent image. This demonstrates the potential of RSOXS to provide a high-resolution heightsensitive profile of patterned EUV resists, which will help to quantify the evolution of critical features, such as the line edge roughness, at each step of the nanofabrication process.
- Published
- 2018
24. Effects of EUV multilayer roughness on 2D patterning
- Author
-
Long, Luke T., primary, Sherwin, Stuart, additional, Miyakawa, Ryan, additional, Pistor, Thomas V., additional, Hettermann, Matt, additional, and Naulleau, Patrick, additional
- Published
- 2024
- Full Text
- View/download PDF
25. Understanding and measuring EUV mask 3D effects
- Author
-
Sherwin, Stuart, primary, Hettermann, Matt, additional, Houser, Dave, additional, and Naulleau, Patrick, additional
- Published
- 2024
- Full Text
- View/download PDF
26. Characterization of chemical/structural information of latent image via critical-dimension resonant soft x-ray scattering
- Author
-
Zhang, Qi, primary, Chao, Weilun, additional, Holcomb, Warren D., additional, Miyakawa, Ryan H., additional, Kumar, Dinesh, additional, Ruiz, Ricardo, additional, Neureuther, Andrew R., additional, Naulleau, Patrick P., additional, and Wang, Cheng, additional
- Published
- 2024
- Full Text
- View/download PDF
27. Advanced processes in metal-oxide resists for high-NA EUV lithography
- Author
-
Dinh, Cong Que, primary, Nagahara, Seiji, additional, Cho, Kayoko, additional, Tomori, Hikari, additional, Kuwahara, Yuhei, additional, Onitsuka, Tomoya, additional, Okada, Soichiro, additional, Kawakami, Shinichiro, additional, Hara, Arisa, additional, Fujimoto, Seiji, additional, Muramatsu, Makoto, additional, Tsuzuki, Reiko, additional, Liu, Xiang, additional, Thiam, Arame, additional, Feurprier, Yannick, additional, Nafus, Kathleen, additional, Carcasi, Michael A., additional, Huli, Lior, additional, Kato, Kanzo, additional, Krawicz, Alexandra, additional, Kocsis, Michael, additional, De Schepper, Peter, additional, McQuade, Lauren, additional, Kasahara, Kazuki, additional, Garcia Santaclara, Jara G., additional, Hoefnagels, Rik, additional, La Fontaine, Bruno, additional, Miyakawa, Ryan H., additional, Anderson, Chris N., additional, and Naulleau, Patrick P., additional
- Published
- 2024
- Full Text
- View/download PDF
28. Efficient Fresnel zoneplate pattern data preparation for high-resolution nanofabrication
- Author
-
Wang, Yow-Gwo, Miyakawa, Ryan H, Chao, Weilun, and Naulleau, Patrick P
- Subjects
Manufacturing Engineering ,Engineering ,Optical Physics ,Electrical and Electronic Engineering ,Communications Technologies ,Optoelectronics & Photonics ,Communications engineering ,Atomic ,molecular and optical physics ,Quantum physics - Abstract
A Fresnel zoneplate is a diffractive optical element consisting of concentric rings (zones) for which the transmitted light produces a focal spot that is used in all wavelength regimes, including X-rays. The pattern of transmission openings determines the location of the spot and the sub-half wavelength size of the openings can adjust the intensity. Today, very general transmission zoneplate patterns are used for many special imaging and image compensation purposes. Manufacturing zoneplates require a zoneplate pattern file, which precisely describes the size, shape, and contour of the rings based on the desired optical properties of the lens. Generating such a pattern requires the delicate balance of achieving the required optical performance while maintaining manageable file sizes and computation times. Here we describe a new algorithm meeting these needs. By precisely controlling the number of shapes in each zone, the algorithm simultaneously optimizes the desired optical tolerances with the pattern file size.
- Published
- 2017
29. Amplitude versus phase effects in extreme ultraviolet lithography mask scattering and imaging.
- Author
-
Naulleau, Patrick P, Benk, Markus, Goldberg, Kenneth A, Gullikson, Eric M, Wojdyla, Antoine, Wang, Yow-Gwo, and Neureuther, Andy
- Subjects
Civil Engineering ,Engineering ,CSD-46-All CSGB ,Optical Physics ,Electrical and Electronic Engineering ,Mechanical Engineering ,Optics ,Electrical engineering ,Atomic ,molecular and optical physics - Abstract
It is now well established that extreme ultraviolet (EUV) mask multilayer roughness leads to wafer-plane line-width roughness (LWR) in the lithography process. Analysis and modeling done to date has assumed, however, that the roughness leading to scatter is primarily a phase effect and that the amplitude can be ignored. Under this assumption, simple scattering measurements can be used to characterize the statistical properties of the mask roughness. Here, we explore the implications of this simplifying assumption by modeling the imaging impacts of the roughness amplitude component as a function of the balance between amplitude and phase induced scatter. In addition to model-based analysis, we also use an EUV microscope to compare experimental through focus data to modeling in order to assess the actual amount of amplitude roughness on a typical EUV multilayer mask. The results indicate that amplitude roughness accounts for less than 1% of the total scatter for typical EUV masks.
- Published
- 2017
30. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope
- Author
-
Benk, Markus P, Wojdyla, Antoine, Chao, Weilun, Salmassi, Farhad, Oh, Sharon, Wang, Yow-Gwo, Miyakawa, Ryan H, Naulleau, Patrick P, and Goldberg, Kenneth A
- Subjects
Engineering ,Nanotechnology ,extreme ultraviolet ,anamorphic ,mask ,microscope ,zone plate ,high-numerical aperture ,Optical Physics ,Electrical and Electronic Engineering ,Nanoscience & Nanotechnology ,Electronics ,sensors and digital hardware - Abstract
The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP's Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. The anamorphic images show the same image quality in the horizontal and vertical directions.
- Published
- 2016
31. Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope
- Author
-
Benk, Markus P, Goldberg, Kenneth A, Wojdyla, Antoine, Anderson, Christopher N, Salmassi, Farhad, Naulleau, Patrick P, and Kocsis, Michael
- Subjects
Physical Sciences ,Engineering ,Nanotechnology - Abstract
The Semiconductor High-Numerical-aperture (NA) Actinic Reticle Review Project (SHARP) is an extreme ultraviolet (EUV)-wavelength, synchrotron-based microscope dedicated to advanced EUV photomask research. The instrument is designed to emulate current and future generations of EUV lithography (EUVL). The performance of the SHARP microscope has been well characterized for its low-NA lenses, emulating imaging in 0.25 and 0.33NA lithography scanners. Evaluating the resolution of its higher-NA lenses, intended to emulate future generations of EUV lithography, requires a photomask with features down to 22-nm half pitch. The authors fabricated a sample with features down to 20-nm half pitch, exposing a wafer with a standard multilayer coating in the Berkeley microfield exposure tool, and used it to demonstrate real-space imaging down to 22-nm half pitch on the SHARP microscope. The demonstrated performance of SHARP's high-NA zoneplates, together with the extended capabilities of the tool, provide a platform that is available today, suited for research targeted at upcoming generations of EUVL many years into the future.
- Published
- 2015
32. Quantitative phase retrieval with arbitrary pupil and illumination
- Author
-
Claus, Rene A, Naulleau, Patrick P, Neureuther, Andrew R, and Waller, Laura
- Subjects
Communications Engineering ,Engineering ,Physical Sciences ,Bioengineering ,Optical Physics ,Electrical and Electronic Engineering ,Communications Technologies ,Optics ,Communications engineering ,Electronics ,sensors and digital hardware ,Atomic ,molecular and optical physics - Abstract
We present a general algorithm for combining measurements taken under various illumination and imaging conditions to quantitatively extract the amplitude and phase of an object wave. The algorithm uses the weak object transfer function, which incorporates arbitrary pupil functions and partially coherent illumination. The approach is extended beyond the weak object regime using an iterative algorithm. We demonstrate the method on measurements of Extreme Ultraviolet Lithography (EUV) multilayer mask defects taken in an EUV zone plate microscope with both a standard zone plate lens and a zone plate implementing Zernike phase contrast.
- Published
- 2015
33. Compact X-ray and Extreme-Ultraviolet Light Sources
- Author
-
Assoufid, Lahsen, Arp, Uwe, Naulleau, Patrick, Biedron, Sandra, and Graves, William
- Subjects
Optoelectronics & Photonics - Published
- 2015
34. Phase measurements of EUV mask defects:
- Author
-
Claus, Rene A., Wang, Yow-Gwo, Wojdyla, Antoine, Benk, Markus P., Goldberg, Kenneth A., Neureuther, Andrew R., Naulleau, Patrick P., and Waller, Laura
- Published
- 2015
35. Enabling EUV Resist Research at the 1x and Smaller Regime
- Author
-
Naulleau, Patrick, Anderson, Christopher, Chao, Weilun, Goldberg, Kenneth, Wojdyla, Antoine, Bhattarai, Suchit, Neureuther, Andrew, Goodwin, Frank, and Neisser, Mark
- Subjects
Quantum Physics ,Engineering ,Physical Sciences ,photoresist ,extreme ultraviolet ,shot noise ,phase-shift mask ,Polymers - Abstract
With the slipplng of tbe insertion node for extreme ultraviolet lithography demands on resist resolution have increased further stresslng sensitivity requirements. A variety of resists both chemically amplified and not have beend developed meeting resolution needs but falling short on sensitivity and line-width roughness (LWR). Note that resolution is an absolute mandatory requirement the true tradeoff that must be considered is between sensitivity and LWR. Contact hole printing is a crucial application for extreme ultraviolet lithography and is particularly challenged by resist sensitivity due to inherent in effiencies in darkfield contact printing. Checkerboard strong phase shift mask have the potential to alleviate this problem through a 4x increase in optical efficiency. The feasibility of this method is demonstrated using the SEMATECH Berkeley Microfield Exposure Tool pseudo phase shift mask configuration and preliminary results are provided on the fabrication of an etched multilayer checkerboard phase shift mask.
- Published
- 2015
36. Biological soft X‐ray tomography on beamline 2.1 at the Advanced Light Source
- Author
-
Le Gros, Mark A, McDermott, Gerry, Cinquin, Bertrand P, Smith, Elizabeth A, Do, Myan, Chao, Weilun L, Naulleau, Patrick P, and Larabell, Carolyn A
- Subjects
Atomic ,Molecular and Optical Physics ,Physical Sciences ,Condensed Matter Physics ,Biomedical Imaging ,Generic health relevance ,Animals ,Cell Biology ,Cells ,Cultured ,Cryopreservation ,Equipment Design ,Imaging ,Three-Dimensional ,Light ,Microscopy ,Fluorescence ,Specimen Handling ,Tomography ,X-Ray Computed ,cell biology ,cellular imaging ,cryo-preservation ,cryogenic fluorescence tomography ,cryostage ,three-dimensional reconstruction ,Optical Physics ,Physical Chemistry (incl. Structural) ,Biophysics ,Physical chemistry ,Atomic ,molecular and optical physics ,Condensed matter physics - Abstract
Beamline 2.1 (XM-2) is a transmission soft X-ray microscope in sector 2 of the Advanced Light Source at Lawrence Berkeley National Laboratory. XM-2 was designed, built and is now operated by the National Center for X-ray Tomography as a National Institutes of Health Biomedical Technology Research Resource. XM-2 is equipped with a cryogenic rotation stage to enable tomographic data collection from cryo-preserved cells, including large mammalian cells. During data collection the specimen is illuminated with `water window' X-rays (284-543 eV). Illuminating photons are attenuated an order of magnitude more strongly by biomolecules than by water. Consequently, differences in molecular composition generate quantitative contrast in images of the specimen. Soft X-ray tomography is an information-rich three-dimensional imaging method that can be applied either as a standalone technique or as a component modality in correlative imaging studies.
- Published
- 2014
37. Coded aperture detector: an image sensor with sub 20-nm pixel resolution
- Author
-
Miyakawa, Ryan, Mayer, Rafael, Wojdyla, Antoine, Vannier, Nicolas, Lesser, Ian, Aron-Dine, Shifrah, and Naulleau, Patrick
- Published
- 2014
38. EUV extendibility: challenges facing EUV at 1x and beyond
- Author
-
Naulleau, Patrick
- Subjects
Materials science - Published
- 2014
39. Studying Resist Stochastics with the Multivariate Poisson Propagation Model
- Author
-
Naulleau, Patrick, Anderson, Christopher, Chao, Weilun, Bhattarai, Suchit, and Neureuther, Andrew
- Subjects
photoresist ,extreme ultraviolet ,shot noise ,Polymers - Abstract
Progress in the ultimate performance of extreme ultraviolet resist has arguably decelerated in recent years suggesting an approach to stochastic limits both in photon counts and material parameters. Here we report on the performance of a variety of leading extreme ultraviolet resist both with and without chemical amplification. The measured performance is compared to stochastic modeling results using the Multivariate Poisson Propagation Model. The results show that the best materials are indeed nearing modeled performance limits.
- Published
- 2014
40. Nanoscale chemical metrology on latent EUV resist images
- Author
-
O'Reilly, Padraic, primary, Long, Luke, additional, Holcomb, Warren, additional, Albrecht, Thomas, additional, Grenon, Brian, additional, Naulleau, Patrick P., additional, and Park, Sung, additional
- Published
- 2023
- Full Text
- View/download PDF
41. Effects of EUV multilayer roughness on attenuated phase shift mask design
- Author
-
Long, Luke T., primary, Sherwin, Stuart, additional, Miyakawa, Ryan, additional, Pistor, Thomas V., additional, and Naulleau, Patrick, additional
- Published
- 2023
- Full Text
- View/download PDF
42. EUV actinic scatterometry for in-pattern phase metrology
- Author
-
Sherwin, Stuart, primary, Hettermann, Matt, additional, Houser, Dave, additional, Perera, Chami, additional, and Naulleau, Patrick, additional
- Published
- 2023
- Full Text
- View/download PDF
43. Metrology development on latent images via critical-dimension resonant soft x-ray scattering
- Author
-
Zhang, Qi, primary, Chao, Weilun, additional, Holcomb, Warren, additional, Miyakawa, Ryan, additional, Ruiz, Ricardo, additional, Kumar, Dinesh, additional, Neureuther, Andrew, additional, Naulleau, Patrick, additional, and Wang, Cheng, additional
- Published
- 2023
- Full Text
- View/download PDF
44. Development of EUV phase shift mask metrology
- Author
-
Gomar-Nadal, Elba, primary, Tavassoli, Malahat, additional, Bijjula, Kowtilya, additional, Sherwin, Stuart, additional, Hettermann, Matt, additional, Wilson, Christian, additional, Dong, Feng, additional, Houser, Dave, additional, Khodarev, Alexander, additional, Perera, Chami, additional, and Naulleau, Patrick, additional
- Published
- 2023
- Full Text
- View/download PDF
45. Role of resist components in electron emission and capture
- Author
-
Kostko, Oleg, primary, McAfee, Terry R., additional, and Naulleau, Patrick P., additional
- Published
- 2023
- Full Text
- View/download PDF
46. Study of electron-induced chemical transformations in model resists
- Author
-
Mueller, Maximillian W., primary, McAfee, Terry R., additional, Naulleau, Patrick P., additional, Oh, Dahyun, additional, and Kostko, Oleg, additional
- Published
- 2023
- Full Text
- View/download PDF
47. Optical Lithography
- Author
-
Naulleau, Patrick
- Subjects
Materials science - Published
- 2012
48. Accelerating EUV learning with synchrotron light: Mask roughness challenges ahead
- Author
-
Naulleau, Patrick
- Subjects
Materials science - Published
- 2012
49. Mask roughness challenges in extreme ultraviolet mask development
- Author
-
Naulleau, Patrick
- Subjects
Materials science - Published
- 2011
50. EUV learning at teh 16-nm node and below
- Author
-
Naulleau, Patrick
- Subjects
Materials science - Published
- 2011
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.