27 results on '"Joerg Zimmermann"'
Search Results
2. Computational evaluation of critical logical metal layers of pitch 20-24nm and the aberration sensitivity in high NA EUV single patterning
- Author
-
Weimin Gao, Chun-Kuang Chen, and Joerg Zimmermann
- Published
- 2023
- Full Text
- View/download PDF
3. Feasibility of logic metal scaling with 0.55NA EUV single patterning
- Author
-
Dongbo Xu, Ling Ee Tan, Vicky Philipsen, Joerg Zimmermann, and Werner Gillijns
- Published
- 2023
- Full Text
- View/download PDF
4. Computational lithographic study of 0.55 NA EUV single patterning for metal layers for the 2nm logic node and beyond
- Author
-
Weimin Gao, Boer Zhu, Tsann-Bim Chiou, Shih En Tseng, Will Lin, Chun-Kuang Chen, Joerg Zimmermann, and Anthony Yen
- Published
- 2022
- Full Text
- View/download PDF
5. Extreme ultraviolet scanner with high numerical aperture: obscuration and wavefront description
- Author
-
Laurens de Winter, Timur Tudorovskiy, Jan van Schoot, Kars Troost, Erwin Stinstra, Stephen Hsu, Toralf Gruner, Juergen Mueller, Ruediger Mack, Bartosz Bilski, Joerg Zimmermann, and Paul Graeupner
- Published
- 2022
- Full Text
- View/download PDF
6. Simulation of polychromatic effects in high NA EUV lithography
- Author
-
Simon Bihr, Vicky Philipsen, Andreas Erdmann, Hazem Mesilhy, Victor Soltwisch, Peter Evanschitzky, Joerg Zimmermann, and Qais Saadeh
- Subjects
Diffraction ,Wavelength ,Materials science ,Optics ,Computational lithography ,business.industry ,Optical materials ,Extreme ultraviolet lithography ,Dispersion (optics) ,Radiation ,business ,Lithography - Abstract
State-of-the-art EUV exposure systems utilize EUV radiation around 13.52 nm with a full band spectrum extend- ing from 13.2 nm to 13.8 nm. The variation of the wavelength in this range modifies the diffraction angles with an impact on the image blur and non-telecentricity effects. Dispersion of the materials on the EUV mirrors and on the 3D mask introduce an additional sensitivity of the imaging characteristics to the exposure wavelength. We employed the simulation models of the Fraunhofer IISB lithography simulator Dr.LiTHO in combination with measured optical material data from PTB to quantify the resulting image impact and to differentiate between the identified contributors to polychromatic imaging effects.
- Published
- 2021
- Full Text
- View/download PDF
7. High-NA EUV imaging: from system introduction towards low-k1 extension
- Author
-
Bartosz Bilski, Anton van Oosten, Paul Graeupner, Jan van Schoot, Claire van Lare, Joerg Zimmermann, Eelco van Setten, Friso Wittebrood, Jo Finders, Natalia Davydova, Gerardo Bottiglieri, John McNamara, and Gijsbert Rispens
- Subjects
Scanner ,Stack (abstract data type) ,Computer science ,Feature (computer vision) ,business.industry ,Extreme ultraviolet lithography ,Extension (predicate logic) ,Focus (optics) ,business ,Throughput (business) ,Dram ,Computer hardware - Abstract
To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with 0.55NA that enables 8nm HP resolution and a high throughput. In this paper we will discuss the imaging performance and technology solutions to support our customers device roadmap from High-NA insertion towards low-k1 extension for critical Logic/MPU and DRAM layers. We will address various technology solutions that enable a high contrast through focus for decreasing feature size, such as mask stack optimization, computational litho solutions and advanced illumination shapes.
- Published
- 2021
- Full Text
- View/download PDF
8. The genetic relationship between educational attainment and cognitive performance in major psychiatric disorders
- Author
-
Sebastian Stierl, Andreas Thiel, Fanny Senner, Volker Arolt, Ion-George Anghelescu, Manfred Koller, Eva C. Schulte, Markus M. Noethen, Janos L. Kalman, Daniela Reich-Erkelenz, Markus Jaeger, Here Folkerts, Urs Heilbronner, Bernhard T. Baune, Katrin Gade, Heike Anderson-Schmidt, Ashley L. Comes, Eva Z. Reininghaus, Kristina Adorjan, Georg Juckel, Maria Hake, Stephanie H. Witt, Harald Scherk, Farah Kloehn-Saghatolislam, Till F. M. Andlauer, Sabrina K. Schaupp, Jens Wiltfang, Franziska Degenhardt, Udo Dannlowski, Martin von Hagen, Max Schmauss, Monika Budde, Marcella Rietschel, Andreas J. Forstner, Moritz E. Wigand, Peter Falkai, Christian Figge, Thomas Becker, Carsten Konrad, Carsten Spitzer, Jens Reimer, Joerg Zimmermann, Sergi Papiol, Andreas J. Fallgatter, Detlef E. Dietrich, Vanessa Nieratschker, and Thomas G. Schulze
- Subjects
0301 basic medicine ,Male ,Multifactorial Inheritance ,Intelligence ,Neuropsychological Tests ,genetics [Mental Disorders] ,Cognition ,0302 clinical medicine ,Memory span ,Mental Disorders ,physiology [Cognition] ,Genomics ,Middle Aged ,ddc ,Psychiatry and Mental health ,Memory, Short-Term ,Schizophrenia ,physiology [Memory, Short-Term] ,Educational Status ,Female ,Psychology ,Adult ,medicine.medical_specialty ,Bipolar disorder ,Fluid and crystallized intelligence ,Verbal learning ,physiology [Intelligence] ,Article ,Learning and memory ,lcsh:RC321-571 ,03 medical and health sciences ,Cellular and Molecular Neuroscience ,Young Adult ,medicine ,Humans ,Clinical genetics ,Effects of sleep deprivation on cognitive performance ,ddc:610 ,Psychiatry ,lcsh:Neurosciences. Biological psychiatry. Neuropsychiatry ,Biological Psychiatry ,medicine.disease ,030104 developmental biology ,psychology [Mental Disorders] ,Endophenotype ,030217 neurology & neurosurgery - Abstract
Cognitive deficits are a core feature of psychiatric disorders like schizophrenia and bipolar disorder. Evidence supports a genome-wide polygenic score (GPS) for educational attainment (GPSEDU) can be used to explain variability in cognitive performance. We aimed to identify different cognitive domains associated with GPSEDU in a transdiagnostic clinical cohort of chronic psychiatric patients with known cognitive deficits. Bipolar and schizophrenia patients from the PsyCourse cohort (N = 730; 43% female) were used. Likewise, we tested whether GPSs for schizophrenia (GPSSZ) and bipolar disorder (GPSBD) were associated with cognitive outcomes. GPSEDU explained 1.5% of variance in the backward verbal digit span, 1.9% in the number of correctly recalled words of the Verbal Learning and Memory Test, and 1.1% in crystallized intelligence. These effects were robust to the influences of treatment and diagnosis. No significant associations between GPSSZ or GPSBD with cognitive outcomes were found. Furthermore, these risk scores did not confound the effect of GPSEDU on cognitive outcomes. GPSEDU explains a small fraction of cognitive performance in adults with psychiatric disorders, specifically for domains related to linguistic learning and working memory. Investigating such a proxy-phenotype longitudinally, could give intriguing insight into the disease course, highlighting at what time genes play a more influential role on cognitive performance. Better understanding the origin of these deficits might help identify those patients at risk for lower levels of functioning and poor social outcomes. Polygenic estimates may in the future be part of predictive models for more personalized interventions.
- Published
- 2019
- Full Text
- View/download PDF
9. High NA EUV scanner: obscuration and wavefront description
- Author
-
Laurens de Winter, Timur Tudorovskiy, Joerg Zimmermann, Erwin Stinstra, Bartosz Bilski, Jan van Schoot, Kars Zeger Troost, Stephen Hsu, Toralf Gruner, Ruediger Mack, Paul Graeupner, and Juergen Mueller
- Subjects
Physics ,Wavefront ,symbols.namesake ,Scanner ,Optics ,business.industry ,Zernike polynomials ,Extreme ultraviolet lithography ,symbols ,business ,Pupil - Abstract
The high NA=0.55 EUV scanner has an obscuration in the pupil. This has led to the choice to expand the aberration wave-front not in Zernikes anymore, but in other, orthogonal, basis-functions instead. The reasons for this choice and the description of the basis-functions will be discussed.
- Published
- 2020
- Full Text
- View/download PDF
10. Effect of Scale, Material Strength, and Loading on Ejecta Formation from Explosively Driven Aluminum
- Author
-
Jason Loiseau, Andrew J. Higgins, William Georges, and Joerg Zimmermann
- Subjects
010302 applied physics ,Shock wave ,Materials science ,Explosive material ,Richtmyer–Meshkov instability ,Astrophysics::High Energy Astrophysical Phenomena ,Materials Science (miscellaneous) ,chemistry.chemical_element ,02 engineering and technology ,Mechanics ,021001 nanoscience & nanotechnology ,01 natural sciences ,Piezoelectricity ,Grain size ,Classical mechanics ,chemistry ,Mechanics of Materials ,Aluminium ,Free surface ,0103 physical sciences ,0210 nano-technology ,Ejecta - Abstract
When a shock wave reaches the free surface of a material with surface asperities, particles can be ejected from the surface. The mass and velocity of the ejecta depend on the strength and profile of the shock wave, the material in which the wave travels, and the finish of the free surface. In the present study, aluminum targets with machined triangular perturbations on the free surface were shock loaded by high explosives to 12.0 and 19.4 GPa and by plate impact to 14.5 GPa. In all experiments, the aluminum remained in the solid phase. Two scales of perturbations were tested: 30- $$\upmu$$ m-deep and 500- $$\upmu$$ m-deep V-shaped grooves with a 60° tip angle. The perturbation growth and ejecta formation were quantified using photonic doppler velocimetry and piezoelectric pins. It was found that the maximum observed velocity from the perturbed surface was nearly identical for both scales but that ejecta formed only when the larger scale perturbations were used. This result may be attributed to a scale effect caused by the smaller perturbation being on the scale of the grain size of the material. When the shock loading was removed by placing an air or vacuum gap between an explosive and the aluminum target, no ejecta was detected to within the instrumentation limits.
- Published
- 2017
- Full Text
- View/download PDF
11. High-NA EUV Lithography exposure tool: program progress and mask impact (Conference Presentation)
- Author
-
Jan van Schoot, Eelco van Setten, Kars Zeger Troost, Paul Graeupner, Rudy Peeters, Judon Stoeldraijer, Peter Kuerz, Jos Benschop, Joerg Zimmermann, Winfried Kaiser, and Sjoerd Lok
- Subjects
Scanner ,Computer science ,business.industry ,Extreme ultraviolet lithography ,Flatness (systems theory) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Numerical aperture ,010309 optics ,Lens (optics) ,Image stitching ,law ,0103 physical sciences ,Photomask ,0210 nano-technology ,business ,Lithography ,Computer hardware - Abstract
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The purpose of this high-NA scanner, targeting a resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics concerning the interaction of the High-NA optics and its associated different magnifications on requirements for the mask, in particular defectivity, flatness, substrate thermal properties, novel absorbers, need for assist features, pellicle and stitching.
- Published
- 2019
- Full Text
- View/download PDF
12. High-NA EUV lithography exposure tool progress
- Author
-
Jan van Schoot, Eelco van Setten, Jo Finders, Joerg Zimmermann, Judon Stoeldraijer, Peter Kuerz, Frank Bornebroek, Marco Pieters, Kars Zeger Troost, Winfried Kaiser, Sjoerd Lok, Rob van Ballegoij, and Paul Graeupner
- Subjects
Scanner ,Computer science ,business.industry ,Extreme ultraviolet lithography ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,02 engineering and technology ,Overlay ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Numerical aperture ,010309 optics ,Lens (optics) ,Image stitching ,law ,0103 physical sciences ,0210 nano-technology ,business ,Focus (optics) ,Lithography ,Computer hardware - Abstract
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The purpose of this high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics inherent in the new design and smaller target resolution: M3D effects, polarization, focus control and stitching.
- Published
- 2019
- Full Text
- View/download PDF
13. miR-2861 as novel HDAC5 inhibitor in CHO cells enhances productivity while maintaining product quality
- Author
-
Friedemann Hesse, Melanie Geiss, Joerg Zimmermann, Andreas Wagner, René Handrick, Kerstin Otte, Simon Fischer, Sven Mathias, Martin Domnowski, Albert Jesuran Paul, and Franziska Schandock
- Subjects
Histone deacetylase 5 ,Chinese hamster ovary cell ,Cell ,Bioengineering ,Sodium butyrate ,Biology ,Applied Microbiology and Biotechnology ,Molecular biology ,Cell biology ,chemistry.chemical_compound ,medicine.anatomical_structure ,chemistry ,Apoptosis ,microRNA ,Gene expression ,medicine ,Histone deacetylase ,Biotechnology - Abstract
Histone deacetylase (HDAC) inhibitors have been exploited for years to improve recombinant protein expression in mammalian production cells. However, global HDAC inhibition is associated with negative effects on various cellular processes. microRNAs (miRNAs) have been shown to regulate gene expression in almost all eukaryotic cell types by controlling entire cellular pathways. Since miRNAs recently have gained much attention as next-generation cell engineering tool to improve Chinese hamster ovary (CHO) cell factories, we were interested if miRNAs are able to specifically repress HDAC expression in CHO cells to circumvent limitations of unspecific HDAC inhibition. We discovered a novel miRNA in CHO cells, miR-2861, which was shown to enhance productivity in various recombinant CHO cell lines. Furthermore, we demonstrate that miR-2861 might post-transcriptionally regulate HDAC5 in CHO cells. Intriguingly, siRNA-mediated HDAC5 suppression could be demonstrated to phenocopy pro-productive effects of miR-2861 in CHO cells. This supports the notion that miRNA-induced inhibition of HDAC5 may contribute to productivity enhancing effects of miR-2861. Furthermore, since product quality is fundamental to safety and functionality of biologics, we examined the effect of HDAC inhibition on critical product quality attributes. In contrast to unspecific HDAC inhibition using VPA, enforced expression of miR-2861 did not negatively influence antibody aggregation or N-glycosylation. Our findings highlight the superiority of miRNA-mediated inhibition of specific HDACs and present miR-2861 as novel cell engineering tool for improving CHO manufacturing cells.
- Published
- 2015
- Full Text
- View/download PDF
14. Influence of thick surface coatings on jet formation in flyer plate impacts
- Author
-
Andrew J. Higgins, Joerg Zimmermann, Jason Loiseau, William Georges, and Troy Tyler
- Subjects
Jet (fluid) ,Optics ,Materials science ,business.industry ,Oblique shock ,Composite material ,Velocimetry ,business - Abstract
The criteria for jetting upon the impact of flyer plates is that an oblique shock solution in the impact point reference frame does not exist. This criterion has been extensively verified experimentally over the last few decades. Comparatively, there has been no studies in the open literature regarding the jetting criterion for the impact of multi-layered flyers or flyer plates featuring thick coatings. In this work we have developed an experimental set-up that allowed us to determine whether or not the impact of multi-layered flyers resulted in a jet or not using laser heterodyne velocimetry.
- Published
- 2017
- Full Text
- View/download PDF
15. Reduction of ejecta from asperities on a metal surface upon shock breakout
- Author
-
Joerg Zimmermann, Andrew J. Higgins, William Georges, Troy Tyler, and Jason Loiseau
- Subjects
Materials science ,Breakout ,Explosive material ,chemistry ,Aluminium ,Free surface ,Wave loading ,chemistry.chemical_element ,Geophysics ,Surface finish ,Mechanics ,Ejecta ,Air gap (plumbing) - Abstract
Ejecta can be produced when a shock breaks out of a metallic surface with imperfections. The amount of material ejected depends on the wave profile and the surface finish. This work focuses on techniques to reduce the amount of ejecta produced. As a baseline, a Taylor wave loading was produced by detonating a high explosive next to an aluminum target featuring V-grooves on the free surface. The ejecta and free surface velocities were monitored with photonic doppler velocimetry (PDV). In an attempt to suppress the ejecta, the shock pressure was reduced by the addition of an air gap. The effect of a vacuum gap was also investigated. PDV spectrograms show that significant ejecta traveling at roughly three times the free surface velocity was produced when explosives were in contact with the target. The placement of an air gap or a vacuum gap between explosive and target suppressed detectable ejecta.
- Published
- 2017
- Full Text
- View/download PDF
16. Exploring novel mechanistic insights in Alzheimer’s disease by assessing reliability of protein interactions
- Author
-
Martin Hofmann-Apitius, Erfan Younesi, Sudeep Sahadevan, Joerg Zimmermann, Ashutosh Malhotra, and Publica
- Subjects
Multidisciplinary ,Computer science ,Disease mechanisms ,Computational Biology ,Reproducibility of Results ,Context (language use) ,Computational biology ,computer.software_genre ,Models, Biological ,Article ,Protein–protein interaction ,Interaction information ,Interaction network ,Alzheimer Disease ,Databases, Genetic ,Protein Interaction Mapping ,Humans ,Data mining ,Protein Interaction Maps ,computer ,Reliability (statistics) ,Network model - Abstract
Protein interaction networks are widely used in computational biology as a graphical means of representing higher-level systemic functions in a computable form. Although, many algorithms exist that seamlessly collect and measure protein interaction information in network models, they often do not provide novel mechanistic insights using quantitative criteria. Measuring information content and knowledge representation in network models about disease mechanisms becomes crucial particularly when exploring new target candidates in a well-defined functional context of a potential disease mechanism. To this end, we have developed a knowledge-based scoring approach that uses literature-derived protein interaction features to quantify protein interaction confidence. Thereby, we introduce the novel concept of knowledge cliffs, regions of the interaction network where a significant gap between high scoring and low scoring interactions is observed, representing a divide between established and emerging knowledge on disease mechanism. To show the application of this approach, we constructed and assessed reliability of a protein-protein interaction model specific to Alzheimer’s disease, which led to screening and prioritization of four novel protein candidates. Evaluation of the identified candidates showed that two of them are already followed in clinical trials for testing potential AD drugs.
- Published
- 2015
- Full Text
- View/download PDF
17. Die Gestaltungsformen der betrieblichen Altersversorgung. Betriebliche Altersversorgung in aktueller Sicht der Unternehmen. Die erfolgsorientierte Betriebsrente
- Author
-
Gustav-Adolf Werner, Jörg Zimmermann, Gustav-Adolf Werner, and Jörg Zimmermann
- Subjects
- Business, Management science
- Published
- 2013
18. Holistic lithography for EUV: NXE:3100 characterization of first printed wafers using an advanced scanner model and scatterometry
- Author
-
Rudy Peeters, Keith Gronlund, S. N. Kang, K. van Ingen-Schenau, Jeong-ho Yeo, Joerg Zimmermann, Insung Kim, Natalia Davydova, Oliver Schumann, Christian Wagner, Hua-yu Liu, Dorothe Oorschot, Frank A. J. M. Driessen, Vidya Vaenkatesan, Hoyoung Kang, Jiong Jiang, and Y. Lee
- Subjects
Engineering drawing ,Scanner ,Engineering ,business.industry ,Extreme ultraviolet lithography ,Computer data storage ,Reticle ,Wafer ,Photomask ,business ,Lithography ,Computer hardware ,Flash memory - Abstract
In this paper we will present ASML's holistic approach to lithography for EUV. This total approach combines the various components needed to achieve the correct on-product demands of our customers in terms of patterning fidelity across the entire image field and across the entire wafer. We will start giving a general update on ASML's NXE scanner platform of which the 6 th NXE:3100 systems is now being shipped to a leading chipmaker. The emphasis will be on wafer imaging results for various applications such as flash memory and logic's SRAM. Then we will describe the second holistic component, NXE-computational lithography, which was developed to speed-up early learning on EUV and to achieve high accuracy on the wafers. Thirdly, the YieldStar angular-resolved scatterometry tool that supports the scanner's stability was used to characterize the system and calibrate the models. The wafer-results reveal in detail predicted imaging effects of NXE lithography and allow a calibration of system parameters and characterization of hardware components. We will demonstrate mask-induced imaging effects and propose an improvement of the current EUV blank or mask-making processes.
- Published
- 2011
- Full Text
- View/download PDF
19. Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells
- Author
-
Christoph Hennerkes, Joerg Zimmermann, Mircea Dusa, Robert John Socha, Joost Bekaert, Stanislas Baron, Darko Trivkovic, Anita Bouma, E. van der Heijden, L. Van Look, Kram Koen Schreel, Paul Gräupner, Hua-yu Liu, Frederic Lazzarino, Stephen Hsu, K. Ning, Bart Laenens, Melchior Mulder, J. T. Neumann, Min-Chun Tsai, Orion Mouraille, P. van Adrichem, Geert Vandenberghe, Staf Verhaegen, and Jozef Maria Finders
- Subjects
Bit cell ,Materials science ,business.industry ,FlexRay ,law.invention ,Optics ,law ,Node (circuits) ,Wafer ,Process window ,Static random-access memory ,Photolithography ,business ,Lithography - Abstract
The use of customized illumination modes is part of the pursuit to stretch the applicability of immersion ArF lithography. Indeed, a specific illumination source shape that is optimized for a particular design leads to enhanced imaging results. Recently, freeform illumination has become available through pixelated DOEs or through FlexRay TM , ASML's programmable illuminator system, allowing for virtually unconstrained intensity distribution within the source pupil. In this paper, the benefit of freeform over traditional illumination is evaluated, by applying source mask co-optimization (SMO) for an aggressive use case, and wafer-based verification. For a 22 nm node SRAM of 0.099 μm² and 0.078 μm 2 bit cell area, the patterning of the full contact and metal layer into a hard mask is demonstrated with the application of SMO and freeform illumination. In this work, both pixelated DOEs and FlexRay are applied. Additionally, the match between the latter two is confirmed on wafer, in terms of CD and process window.
- Published
- 2010
- Full Text
- View/download PDF
20. Performance of FlexRay: a fully programmable illumination system for generation of freeform sources on high NA immersion systems
- Author
-
Joost Bekaert, Dirk Jürgens, Gert Streutker, Wilfred Endendijk, J. Verbeeck, Robert John Socha, Andre Engelen, Bert van Drieenhuizen, Daniel Corliss, Melchior Mulder, Anita Bouma, Cas Johannes Petrus Maria Van Nuenen, Greg McIntyre, Bastian Trauter, Oscar Noordman, Joerg Zimmermann, Robert Kazinczi, Bart Laenens, and Wim Bouman
- Subjects
Lens (optics) ,business.industry ,Computer science ,law ,Embedded system ,Immersion (virtual reality) ,Photolithography ,business ,Computer hardware ,FlexRay ,law.invention - Abstract
This paper describes the principle and performance of FlexRay, a fully programmable illuminator for high NA immersion systems. Sources can be generated on demand, by manipulating an array of mirrors instead of the traditional way of inserting optical elements and changing lens positions. On demand (freeform) source availability allows for reduction in R&D cycle time and shrink in k1. Unlimited tuning allows for better machine to machine matching. FlexRay has been integrated in a 1.35NA TWINSCAN exposure system. We will present data of FlexRay using measured traditional and freeform illumination sources. In addition system performance qualification data on stability, reproducibility and imaging will be shown. The benefit of FlexRay for SMO enabling shrink is demonstrated using an SRAM example.
- Published
- 2010
- Full Text
- View/download PDF
21. Analysis of the impact of pupil shape variation by pupil fit modeling
- Author
-
Hyunjo Yang, Jinhyuck Jeon, Sangjin Oh, Donggyu Yim, Chanha Park, Joerg Zimmermann, Young-Hong Min, Andre Engelen, Jinyoung Choi, Cheol-Kyun Kim, Ki-Yeop Park, Bart Smeets, and Sungki Park
- Subjects
business.industry ,Computer science ,Pupil ,law.invention ,Optics ,Pupil magnification ,Optical proximity correction ,law ,Pupil function ,Computer vision ,Process window ,Artificial intelligence ,Photolithography ,business ,Lithography - Abstract
As K1 factor for mass-production of memory devices has been decreased to almost its theoretical limit, the process window of lithography is getting much smaller and the production yield has become more sensitive to even small variations of the process in lithography. So it is necessary to control the process variations more tightly than ever. In mass-production, it is very hard to extend the production capacity if the tool-to-tool variation of scanners and/or scanner stability through time is not minimized. One of the most critical sources of variation is the illumination pupil. So it is critical to qualify the shape of pupils in scanners to control tool-to-tool variations. Traditionally, the pupil shape has been analyzed by using classical pupil parameters to define pupil shape, but these basic parameters, sometimes, cannot distinguish the tool-to-tool variations. It has been found that the pupil shape can be changed by illumination misalignment or damages in optics and theses changes can have a great effect on critical dimension (CD), pattern profile or OPC accuracy. These imaging effects are not captured by the basic pupil parameters. The correlation between CD and pupil parameters will become even more difficult with the introduction of more complex (freeform) illumination pupils. In this paper, illumination pupils were analyzed using a more sophisticated parametric pupil description (Pupil Fit Model, PFM). And the impact of pupil shape variations on CD for critical features is investigated. The tool-to-tool mismatching in gate layer of 4X memory device was demonstrated for an example. Also, we interpreted which parameter is most sensitive to CD for different applications. It was found that the more sophisticated parametric pupil description is much better compared to the traditional way of pupil control. However, our examples also show that the tool-to-tool pupil variation and pupil variation through time of a scanner can not be adequately monitored by pupil parameters only, The best pupil control strategy is a combination of pupil parameters and simulated CD using measured illumination pupils or modeled pupils.
- Published
- 2010
- Full Text
- View/download PDF
22. Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22nm logic lithography process
- Author
-
Frank Hartung, Robert Kazinczi, Scott Halle, Young O. Kim, Frank Rohmund, Jaione Tirapu-Azpiroz, Joerg Zimmermann, Geoffrey W. Burr, John A. Hoffnagle, Rene Carpaij, Joost Hageman, Daniel Corliss, Bernhard Kneer, Saeed Bagheri, Christoph Hennerkes, Carsten Russ, Michael S. Hibbs, Manfred Maul, Alfred Wagner, Donis G. Flagello, Greg McIntyre, Moutaz Fakhry, Tom Faure, Martin Burkhardt, Alan E. Rosenbluth, Kafai Lai, Andre Engelen, Kehan Tian, Remco Jochem Sebastiaan Groenendijk, Emily Gallagher, and David O. S. Melville
- Subjects
business.industry ,Computer science ,Emphasis (telecommunications) ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Mask inspection ,Integrated circuit design ,Lithography process ,law.invention ,Optics ,law ,Electronic engineering ,Wafer ,Node (circuits) ,Photolithography ,business ,Lithography - Abstract
We demonstrate experimentally for the first time the feasibility of applying SMO technology using pixelated illumination. Wafer images of SRAM contact holes were obtained to confirm the feasibility of using SMO for 22nm node lithography. There are still challenges in other areas of SMO integration such as mask build, mask inspection and repair, process modeling, full chip design issues and pixelated illumination, which is the emphasis in this paper. In this first attempt we successfully designed a manufacturable pixelated source and had it fabricated and installed in an exposure tool. The printing result is satisfactory, although there are still some deviations of the wafer image from simulation prediction. Further experiment and modeling of the impact of errors in source design and manufacturing will proceed in more detail. We believe that by tightening all kind of specification and optimizing all procedures will make pixelated illumination a viable technology for 22nm or beyond. Publisher's Note: The author listing for this paper has been updated to include Carsten Russ. The PDF has been updated to reflect this change.
- Published
- 2009
- Full Text
- View/download PDF
23. There is no Place Like Home: A Strategic Framework to Overcome Liability of Foreignness in the German Car Market
- Author
-
Wolfgang Sofka and Joerg Zimmermann
- Subjects
jel:M10 ,Liability of foreignness, automotive market, multinational strategy, seemingly unrelated regressions ,jel:L62 ,jel:F23 - Abstract
Globalization has led to exciting new business opportunities around the globe. Still, national and cultural boundaries have not evaporated into a borderless world. Several studies have identified so-called liabilities of foreignness that arise from a lack of embeddedness and roots in the host market and subsequent competitive disadvantages. Countervailing strategies for these effects have remained scarce so far. We suggest that this is due to the lack of a viable approach to identify and quantify these effects and develop a conceptual framework to empirically estimate the individual degree of liability of foreignness of a firm from a market perspective. We suggest that disruptive changes in a society change the dynamics of liability of foreignness and generate opportunities for foreign companies to optimize their localization strategies. We apply our approach to a large mature market with established international competition: the German new car market. For a comprehensive sample of roughly 1,400 car models from 2003 we estimate the relative turnover disadvantage for all major foreign manufacturers. We find that most foreign producers have managed to overcome liabilities of foreignness in Germany through firm-specific advantages. Still, some face significant challenges. A submarket analysis shows that home market advantages are more deeply rooted in the Western part of Germany and that foreign competitors find a more accessible competitive environment in Eastern Germany. Therefore, East Germany is a superior platform for deploying effective and efficient countervailing strategies. Moreover, we identify a broader rationale to engage early and decisively in untapped but promising markets like China.
- Published
- 2005
24. Semiconductor laser with simultaneous tunable dual-wavelength emission
- Author
-
Tilman Hoener zu Siederdissen, Josef Kovacs, P. Knobloch, Joerg Zimmermann, J.-P. Meyn, Martin Breede, Martin R. Hofmann, Martin Koch, S. Hoffmann, Jens Struckmeier, and Thomas Kleine-Ostmann
- Subjects
Distributed feedback laser ,Materials science ,Laser diode ,business.industry ,Far-infrared laser ,Physics::Optics ,Laser ,law.invention ,Semiconductor laser theory ,Vertical-cavity surface-emitting laser ,Optics ,law ,Optoelectronics ,Semiconductor optical gain ,business ,Tunable laser - Abstract
We present and analyze a new concept for a semiconductor laser with a Fourier-transform external cavity that allows for purely electronically operated wavelength tuning, simultaneous control of multiple gain media within one shared external cavity, and simultaneous multi-wavelength emission from one laser diode only. We investigate the new setup with particular focus on simultaneous two or even multi-wavelength operation from the same diode. The simultaneity of the two modes is unambiguously proven by sum-frequency generation. In addition, we discuss applications of the simultaneous two-wavelength operation with a particular focus on Terahertz difference frequency generation in combination with a photomixer.
- Published
- 2002
- Full Text
- View/download PDF
25. Coordination of Decisions in a Spatial Agent Model
- Author
-
Heinz Muehlenbein, Joerg Zimmermann, Frank Schweitzer, and Publica
- Subjects
Statistics and Probability ,FOS: Computer and information sciences ,Relation (database) ,Statistical Mechanics (cond-mat.stat-mech) ,Computer science ,communication ,decision process ,FOS: Physical sciences ,collective phenomena ,Condensed Matter Physics ,Outcome (game theory) ,Range (mathematics) ,multi-agent system ,spatial structures ,Econometrics ,Computer Science - Multiagent Systems ,Decision process ,phase separation ,Dissemination ,Choice problem ,Spatial organization ,Information exchange ,Condensed Matter - Statistical Mechanics ,Multiagent Systems (cs.MA) - Abstract
For a binary choice problem, the spatial coordination of decisions in an agent community is investigated both analytically and by means of stochastic computer simulations. The individual decisions are based on different local information generated by the agents with a finite lifetime and disseminated in the system with a finite velocity. We derive critical parameters for the emergence of minorities and majorities of agents making opposite decisions and investigate their spatial organization. We find that dependent on two essential parameters describing the local impact and the spatial dissemination of information, either a definite stable minority/majority relation (single-attractor regime) or a broad range of possible values (multi-attractor regime) occurs. In the latter case, the outcome of the decision process becomes rather diverse and hard to predict, both with respect to the share of the majority and their spatial distribution. We further investigate how a dissemination of information on different time scales affects the outcome of the decision process. We find that a more ``efficient'' information exchange within a subpopulation provides a suitable way to stabilize their majority status and to reduce ``diversity'' and uncertainty in the decision process., Comment: submitted for publication in Physica A (31 pages incl. 17 multi-part figures)
- Published
- 2001
- Full Text
- View/download PDF
26. High-NA EUV imaging: challenges and outlook
- Author
-
Eelco van Setten, Jan van Schoot, Matthias Roesch, Bartosz Bilski, Gerardo Bottiglieri, Joerg Zimmermann, and Jack Liddle
- Subjects
Scanner ,business.industry ,Computer science ,Extreme ultraviolet lithography ,Projection optics ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Numerical aperture ,010309 optics ,Optics ,13. Climate action ,0103 physical sciences ,0210 nano-technology ,business ,Lithography - Abstract
The continuation of Moore’s law demands the continuous development of EUV lithography. After the NXE:3400B scanner, currently being inserted in high-volume manufacturing (HVM), the next logical step is to increase the numerical aperture (NA) of the EUV projection optics, from 0.33 to 0.55, resulting in a high-NA EUV scanner. Looking back at the history of lithography tools developed in the last decades, we can see that such an increase of NA is, in relative terms, unprecedented (0.55 = 0.33 + 67%). This significant step forward in the NA is a challenge on many fronts and requires many adaptations. In this paper you will find an overview of the key concepts that make high-NA lithography different on imaging end, how the imaging assures the continued life of Moore’s law for the years to come and what are potential mask-related developments that would contribute to high-NA’s success.
- Full Text
- View/download PDF
27. Direct Observation of Ligand Dynamics in Cytochrome C Using Time-Resolved FTIR Spectroscopy
- Author
-
Floyd E. Romesberg, Megan C. Thielges, and Joerg Zimmermann
- Subjects
biology ,Cytochrome c ,Protein dynamics ,Photodissociation ,Biophysics ,Nanosecond ,Photochemistry ,Dissociation (chemistry) ,chemistry.chemical_compound ,chemistry ,biology.protein ,Side chain ,Protein folding ,Heme - Abstract
Horse heart cytochrome c (cyt c) has emerged as a paradigm for the study of protein folding. The folding of reduced cyt c induced by photodissociation of CO from the CO-bound unfolded protein has been studied extensively. Following a nanosecond light pulse, four transitions have been resolved with time constants of approximately 1-5, 50-100, 200-500, and 1000-10,000 μs. While originally thought to be associated with CO rebinding to two different partially folded states of cyt c, the two slower process are now understood to reflect the bimolecular reassociation of CO followed by religation of the His18, which by the base elimination mechanism is induced to dissociate after CO photolysis. Thus, the two slower time constants turn out not to report on protein folding, but instead reflect the complexity of heme ligation. The two faster time constants have been attributed to ligation at the heme center by protein side chains. Here, to unambiguously determine the post-photodissociation steps involving CO, we monitored the CO vibration following photodissociation with step-scan FT IR spectroscopy. We find that like the slower timescale processes, the 50-100 μs timescale process is associated not with protein dynamics, but with CO ligand dynamics. The data clearly demonstrate that whatever the origins of the spectral changes, they clearly involve CO rebinding or changes in the environment of an already bound CO ligand. In addition to these fast dynamics, we also find multi-phasic CO rebinding on timescales of 1-100 s. The dependence of the associated amplitudes on denaturant concentration suggests that a unique species exists at intermediate denaturant concentrations, consistent with a folding-unfolding process of the protein driven by CO dissociation. This may represent the first evidence for the long sought-after protein folding process triggered by photo-induced CO dissociation.
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.