34 results on '"Janet Hopkins"'
Search Results
2. Alcohol and Other Drug Service Availability, Capacity, and Diversity in Urban and Rural Australia: An Integrated Atlas
- Author
-
John Mendoza, James Gillespie, Maree Teesson, José A. Salinas-Pérez, Maryanne Furst, Bianca Calabria, Hossein Tabatabaei-Jafari, Luis Salvador-Carulla, Janet Hopkins, Tanya Bell, and Nasser Bagheri
- Subjects
Psychiatry and Mental health ,Service system ,Health (social science) ,Geography ,media_common.quotation_subject ,Service (economics) ,Toxicology ,Environmental planning ,Rural australia ,Diversity (politics) ,media_common - Abstract
Objective: Variation exists in the patterns of alcohol and other drug (AOD) use and related impacts across geographic locations and over time. Understanding the existing AOD service system and the ...
- Published
- 2021
- Full Text
- View/download PDF
3. A Study of Integrated Circuit Dicing Tape When Used in a Plasma Dicing Environment
- Author
-
Janet Hopkins, Taku Umemoto, Takuo Nishida, Martin Hanicinec, Oliver Ansell, Lijie Li, and Harry Fulton
- Subjects
Plasma etching ,Materials science ,business.industry ,A diamond ,02 engineering and technology ,Integrated circuit ,Plasma ,021001 nanoscience & nanotechnology ,01 natural sciences ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,law.invention ,010309 optics ,Dicing tape ,law ,0103 physical sciences ,Optoelectronics ,Wafer dicing ,Integrated circuit packaging ,Adhesive ,Electrical and Electronic Engineering ,0210 nano-technology ,business - Abstract
The main objective of this article is to establish which of the many dicing tapes used in the semiconductor industry would be most suitable for use in plasma dicing. Tape design over the past 40 years has continually evolved through advancements in both dicing technologies and the incessant revision of integrated circuit packaging. Die singulation has traditionally been accomplished using a diamond saw, laser-based technology, or a combination of both. The stress on dicing tape was, therefore, limited to fatigue through the physical nature of saw dicing or heat energies induced during laser dicing. These processes do not expose dicing tape to either a high vacuum or a variety of plasma chemistries. This investigative work is a continuation of studies examining the dicing tape behavior when used in plasma dicing. Results show that polyolefin (PO) UV tape with low-to-medium adhesion strength exhibits the greatest resilience under harsh plasma etch conditions and that efficient photoinitiated cross linking (or curing) of the adhesive is triggered if directly exposed to the photonic energies present in a pure SF6 plasma or full plasma dicing process. However, the postplasma dice, post-UV cure adhesive strength can still be minimized if the tape manufacturers recommended time limitations between which the tape mount, plasma dice, and die pickup process are adhered to.
- Published
- 2020
- Full Text
- View/download PDF
4. Alcohol and Other Drug Service Availability, Capacity, and Diversity in Urban and Rural Australia: An Integrated Atlas
- Author
-
Bianca, Calabria, Jose A, Salinas-Perez, Hossein, Tabatabaei-Jafari, John, Mendoza, Tanya, Bell, Janet, Hopkins, Maryanne, Furst, Maree, Teesson, James, Gillespie, Nasser, Bagheri, and Luis, Salvador-Carulla
- Subjects
Rural Population ,Adolescent ,Pharmaceutical Preparations ,Australia ,Humans ,Rural Health Services ,Health Services Accessibility ,Aged - Abstract
Variation exists in the patterns of alcohol and other drug (AOD) use and related impacts across geographic locations and over time. Understanding the existing AOD service system and the local context that it operates within is fundamental to optimize service provision. This article describes and compares the availability, placement capacity, and diversity of AOD services in urban and rural regions in Australia.The Description and Evaluation of Services and DirectoriEs (DESDE) tool was used to categorize the service delivery system for AOD care in selected urban and rural regions in Australia.This study found that although AOD services (303 main types of care) were available across all study regions, there was consistently very limited availability of services targeting young people (n = 39, 13%) or older adults (n = 1,1%). There were also very limited services addressing comorbidities. Availability and diversity of services varied across study areas. Outpatient and residential care were the most available services, whereas day care services were absent in most areas.By describing the capacity of identified available services within the study regions, this study provides baseline information to inform changes to policy and practice and a foundation for monitoring and modeling service changes over time. This information provides evidence useful for optimal planning. However, it should be combined with local knowledge and stakeholder expertise to ensure that local area service needs are addressed.
- Published
- 2021
5. Wikiversity; or Education meets the Free Culture Movement: An Ethnographic Investigation.
- Author
-
Norm Friesen and Janet Hopkins
- Published
- 2008
- Full Text
- View/download PDF
6. RIE dynamics for extreme wafer thinning applications
- Author
-
Andy Miller, Nina Tutunjyan, Janet Hopkins, Nouredine Rassoul, Joeri De Vos, Fumihiro Inoue, Oliver Ansel, Eric Beyne, Daniele Piumi, Huma Ashraf, Gerald Beyer, Dave Thomas, Jash Patel, Stefano Sardo, Anne Jourdain, and Edward Walsby
- Subjects
0209 industrial biotechnology ,Materials science ,business.industry ,Flatness (systems theory) ,02 engineering and technology ,Edge (geometry) ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Grinding ,020901 industrial engineering & automation ,Etching (microfabrication) ,visual_art ,visual_art.visual_art_medium ,Optoelectronics ,Wafer ,Ceramic ,Electrical and Electronic Engineering ,Reactive-ion etching ,0210 nano-technology ,business ,Reflectometry - Abstract
Over the past few years, extreme wafer thinning has acquired more interest due to its importance in 3D stacked system architecture. This technique facilitates multi-wafer stacking for via last advanced packaging. From a cost and wafer integrity point of view, it has been demonstrated that the best process flow combines grinding with fast Si removal using Reactive Ion Etching (RIE). For this integration scheme, final thickness, and global flatness are key for subsequent steps. The wafer thinning performances are driven by several steps and can lead to lot, wafer to wafer and within wafer variations especially at the extreme edge. The first part of this study is to demonstrate stable wafer thinning with good control of the remaining Si (up to 5 μm) during the RIE process. This uses an innovative in-situ endpoint system (Near Infra-Red reflectometry) where the Si thickness is monitored whilst etching. The second part will focus on adjustment of the etch profile to compensate for incoming non-uniformity. This has been investigated from three different perspectives: Hardware modification where the ceramic ring surrounding the wafer is modified, process modification to change the etch front through changing the gas flow and plasma shape and changing the edge trim to introduce additional loading at the edge.
- Published
- 2018
- Full Text
- View/download PDF
7. (Invited) Deep Silicon Etching - Increasingly Relevant >20 Years on!
- Author
-
Janet Hopkins, Dave Thomas, Matthew Muggeridge, Huma Ashraf, Nicolas Launay, and Tony Barrass
- Subjects
Gerontology ,History ,Silicon etching ,Engineering physics - Abstract
In the early 1990’s researchers at the Robert Bosch facility in Stuttgart invented a novel method of etching very deep features into silicon. This led to a patent being granted in 1994. Subsequently (and still) referred to as the ‘Bosch’ process it was first licensed to SPTS who shipped the first commercial systems in 1995. Originally intended as a method of fabricating devices for the then emerging automotive MEMS sector, its use has since diversified to cover virtually all other MEMS markets. Most recently the use of the Bosch Process has expanded into through silicon via (TSV) etching in 3D packaging applications. In 2014 in Amsterdam the inventors (Franz Laermer and Andrea Urban) were awarded the IEEE Jun-Ichi Nishizawa Medal for revolutionising MEMS by enabling the proliferation of many of the everyday devices that surround us today (such as the inertial sensors in airbags and various chip-sets in smart phones, gaming consoles and laptop computers). This paper will describe what is possible today using the Bosch process and will consider future applications and uses. The diversity of the approach will be illustrated through various examples including cavities etched at high rates, features with aspect ratios of 90:1, profile tilt to The longevity of the Bosch approach is in part due to the lack of alternative methods able to achieve the same results in Si. A brief comparison with the competing technologies of wet chemical etching, single step plasma etching, cryogenic etching and LASER drilling will be presented. 300mm wafers are in routine use for Bosch etching of TSVs as part of advanced wafer level packaging integration schemes. However 300mm wafers are now also being considered for MEMS to maximise the die per wafer or to allow direct bonding and integration of MEMS functionality with the best available CMOS chip-sets. The latest results for deep silicon etching of 300mm will also be presented.
- Published
- 2016
- Full Text
- View/download PDF
8. The emerging rights of adoptive parents: substance or specter?
- Author
-
Dickson, Janet Hopkins
- Subjects
Adoption -- Analysis ,Custody of children -- Analysis ,Foster parents -- Laws, regulations and rules - Published
- 1991
9. Dicing Tape Performance in a Plasma Dicing Environment
- Author
-
Taku Umemoto, Janet Hopkins, Takuo Nishida, Oliver Ansell, and Stewart Fulton
- Subjects
Materials science ,business.product_category ,Integrated circuit ,02 engineering and technology ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,law ,Etching (microfabrication) ,0103 physical sciences ,Ultimate tensile strength ,Polyethylene terephthalate ,Pharmacology (medical) ,Wafer ,Composite material ,010302 applied physics ,business.industry ,Plasma ,021001 nanoscience & nanotechnology ,Dicing tape ,chemistry ,Optoelectronics ,Die (manufacturing) ,Wafer dicing ,Adhesive ,0210 nano-technology ,business - Abstract
Plasma dicing, as a means of isolating individual integrated circuits from within a fully processed semiconductor substrate, is still an emerging technology but is now considered the latest step in the evolution in device singulation. With the trend towards smaller, thinner more robust devices, many chip manufacturers are considering, or already switching, to a plasma dicing approach.[1, 2] The high aspect ratio, deep reactive ion etching of silicon using a Bosch process, leverages some distinct advantages over the more physical methods of device singulation. [3] Although the more established methods of diamond blade saw dicing, various laser based approaches or laser/mechanical hybrid dicing techniques, all introduce an element of heat and in some cases water for cooling purposes, they do not expose dicing tape to the unique conditions within high vacuum plasma. This work investigates how the properties of both dicing tape and adhesive are affected when exposed to the environment of a plasma dicing process used in the semiconductor industry. A preliminary fitness test utilising an aggressive exothermic etching regime was used to establish the compatibility of a range of standard Lintec Adwill dicing tapes. In essence, a measure of base film thermal conductivity and how quickly some of the lower molecular weight volatile components of base film and adhesive materials are boiled off or ‘out-gassed’. Polyvinyl Chloride (PVC) tapes performed less well than Polyethylene terephthalate (PET) tapes and some of the Polyolefin (PO) tapes exhibited the greatest resilience. A design of experiments to measure changes in tensile strength, elongation and adhesive properties were carried out to assess the performance of plasma dicing on PO low adhesion tape. Figure 2 shows that the samples exposed to an SF6 plasma impacted the tape elongation and tensile strength properties. Typically the stretch required for PnP is in the range 1–10 % showing that the plasma dicing does not impact the overall performance of the tape. Further tests employing a die pick-up force measurement system to compare saw diced die with that of plasma diced die, proved the feasibility of this technology. Figure 3 shows that pick-up force measured on plasma diced dies is comparable with saw diced dies.
- Published
- 2018
- Full Text
- View/download PDF
10. Sorting the wheat from the hay: Building integrated care for those with complex care needs
- Author
-
Janet Hopkins, John Mendoza, Luis Salvador-Carulla, Tanya Bell, and Stretton Alex
- Subjects
Chronic care ,Complex care needs ,Health (social science) ,Process management ,Sociology and Political Science ,Service delivery framework ,Project commissioning ,Health Policy ,Directory ,Integrated care ,health planning ,service integration ,service classification ,Business ,Relevant information ,Health needs - Abstract
Introduction: Research suggests that flexible models of care which include across-discipline support and practices can overcome the limitations caused by scattered populations and fewer health practitioners in rural and remote areas across Australia. Innovative models of care bring together the clinician and the individual to plan and manage chronic disease to prevent hospital admissions, promote medication compliance and improve service provision. However, models of care cannot stand alone as effective tools for the management and minimisation of chronic diseases in any given area. A sound knowledge of what services are currently available within any given catchment is necessary in planning, commissioning and coordinating chronic care. Pinpointing the core activities of specific services on the ground can assist with integrating service provision. Practice Change: A recent pilot project has been completed to compile an Integrated Atlas of Chronic Care for Dubbo and Coonamble, providing the first detailed service provision description for a specific geographic region within Australia. Based on the international DESDE-LTC classification system, this document goes beyond simply listing services in a directory, toward being able to understand the functional service delivery teams working in a region, where there may be gaps in service provision and where the greatest need for care may be. Highlights: Furthermore, the consistent classification system employed by the atlas methodology allows for later comparison of service provision with other regions. The utility of a validated, comparable and transferable classification system enables policy makers, service planners and commissioning bodies to make informed decisions related to service provision based on the current service provision patterns. Conclusion: In order to ensure rural and remote communities are more likely to have their health needs met, there needs to be a comprehensive understanding of not only what communities need but also what services are currently available that could cooperate to meet the needs in appropriate ways. Locally relevant information on services therefore has a key role in improving rural and remote health.
- Published
- 2018
11. Novel end-point solution for improvement in die strength and yields with plasma dicing after grind in volume production
- Author
-
Richard Barnett, Janet Hopkins, Martin Hanicenic, and Oliver Ansell
- Subjects
Product teardown ,Computer science ,Grind ,Volume (computing) ,Mechanical engineering ,Process control ,Wafer ,Wafer dicing ,Electronics ,Die (integrated circuit) - Abstract
A key driving force within the consumer electronics industry has always been to fit more functionality into a smaller area, and with the evolution of mobile communications and wearable devices, there are no signs of this requirement diminishing. This drives the need for smaller and thinner die for integration into smaller and thinner packages, and yet these chips need to be robust enough to withstand relatively harsh operating conditions in everyday use. While conventional mechanical blade dicing will continue to be the most cost-effective method to singulate die in the majority of cases, there are a growing number of applications where plasma dicing can either offer economic or die quality benefits when compared to blade dicing or other alternatives like LASER dicing. In some cases, plasma dicing even enables die singulation which is technically impossible using conventional methods, particularly where wafer thickness is approaching ∼20μm. Recent product teardown reports [1] have shown evidence of plasma dicing in a leading smartphone, and while plasma dicing after grind is at the early stages of adoption for volume production, the trend toward thinner, smaller die distinguishes it as an increasingly attractive alternative to mechanical saw or laser solutions. This paper gives a brief introduction to the potential benefits of plasma dicing and the design challenges of integrating this relatively new technology into existing volume production schemes, including CoO analysis comparing blade, laser and plasma dicing for different die sizes, and then focus on how end-point process control is essential to ensure die strength and device yields.
- Published
- 2017
- Full Text
- View/download PDF
12. Extreme wafer thinning optimization for via-last applications
- Author
-
Huma Ashraf, Edward Walsby, Fumihiro Inoue, Oliver Ansell, Anne Jourdain, Kenneth June Rebibis, Andy Miller, Janet Hopkins, Joeri De Vos, Gerald Beyer, Dave Thomas, Jash Patel, and Eric Beyne
- Subjects
010302 applied physics ,Interconnection ,Materials science ,Silicon ,Process (computing) ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Engineering physics ,Notching ,chemistry ,0103 physical sciences ,Electronic engineering ,Undercut ,System on a chip ,Wafer ,0210 nano-technology ,Scaling - Abstract
As the 3D interconnect density is increasing exponentially when scaling to lower levels of the interconnect wiring, we see that very soon 3D interconnect pitches of 5 μm and below will be required. Current 3D-SIC (3D-Stacked IC) technologies do not yet offer such interconnect densities and it is expected that most of the 3D-SOC (3D System On Chip) integration technology schemes will require a wafer-to-wafer (W2W) bonding approach. The wafer thinning process becomes very critical when final Si thicknesses of the top wafer in the 5μm range or below are considered. Indeed, a good control of the final Si thickness as well as the total thickness variation (TTV) are necessary to enable a stable via-last etch process with minimum undercut (notching). Two extreme wafer thinning approaches are investigated and compared in terms of process performance and cost of ownership.
- Published
- 2016
- Full Text
- View/download PDF
13. Characterization of Extreme Si Thinning Process for Wafer-to-Wafer Stacking
- Author
-
Eric Beyne, Huma Ashraf, Joeri De Vos, Jash Patel, Fumihiro Inoue, Oliver Ansell, Erik Sleeckx, Dave Thomas, Janet Hopkins, Akira Uedono, and Anne Jourdain
- Subjects
010302 applied physics ,Engineering drawing ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,Surface finish ,021001 nanoscience & nanotechnology ,01 natural sciences ,Grinding ,Stress (mechanics) ,chemistry ,0103 physical sciences ,Surface roughness ,Optoelectronics ,Wafer ,Dry etching ,0210 nano-technology ,business ,Layer (electronics) - Abstract
Wafer-to-wafer 3D integration has a potential tominimize the Si thickness, which enables us to connectmultiple wafers with significantly scaled through-Si vias. Inorder to achieve this type of 3D structure, backside thinningis a key step. Conventional mechanical grinding is known asthe best way to remove bulk Si in terms of cost of ownership(CoO). However, mechanical damage such as induceddislocations needs to be removed after extreme thinning toavoid a serious impact on the device performance. CMPshows the best performance in terms of roughness with asignificantly flat surface with only atomic step roughness. Furthermore, the existing mono-vacancies are as low as for abulk Si substrate. However the total thickness variation(TTV) worsens as more Si is removed. The dry etch processenables a faster etch rate than CMP and wet etching. Furthermore, the mono-vacancy/damage layer after dryetching is equivalent to that achieved when combined withCMP. The combination of CMP and dry etch enables us toachieve extreme thinning of active device wafers (
- Published
- 2016
- Full Text
- View/download PDF
14. Impact of backside processing on C-V characteristics of TSV capacitors in 3D stacked IC process flows
- Author
-
Huma Ashraf, Eric Beyne, Kath Crook, Joeri De Vos, Mark Carruthers, Michele Stucchi, Janet Hopkins, Jash Patel, and Anne Jourdain
- Subjects
Materials science ,Passivation ,Silicon ,business.industry ,chemistry.chemical_element ,Capacitance ,law.invention ,Capacitor ,chemistry ,law ,Electronic engineering ,Optoelectronics ,Wafer ,business - Abstract
In this paper, we describe the importance of carefully selecting the wafer backside processes in 3D stacked IC process flows. In particular, we report on the impact of TSV Via-middle reveal and backside passivation processes on the C-V characteristic of the TSV. The cause of anomalous C-V inversion of the TSV capacitor is explained and a solution is given to avoid this effect.
- Published
- 2015
- Full Text
- View/download PDF
15. Human LineageSpecific Amplification, Selection, and Neuronal Expression of DUF1220 Domains
- Author
-
Laura Dumas, Janet Hopkins, Gerald J. Wyckoff, Erik J. MacLaren, Michael Cox, Magdalena C. Popesco, James M. Sikela, Loris McGavran, and Lynne Meltesen
- Subjects
Pan troglodytes ,Molecular Sequence Data ,Gene Dosage ,Gene Expression ,Neocortex ,Biology ,ENCODE ,Polymerase Chain Reaction ,Mice ,Cognition ,Gene Duplication ,Gene duplication ,Animals ,Humans ,Amino Acid Sequence ,Copy-number variation ,Selection, Genetic ,Gene ,Phylogeny ,Selection (genetic algorithm) ,Neurons ,Genetics ,Multidisciplinary ,Genome, Human ,Gene Amplification ,Brain ,Proteins ,Exons ,Biological Evolution ,Macaca mulatta ,DUF1220 ,Protein Structure, Tertiary ,Rats ,Domain of unknown function ,Function (biology) - Abstract
Extreme gene duplication is a major source of evolutionary novelty. A genome-wide survey of gene copy number variation among human and great ape lineages revealed that the most striking human lineage–specific amplification was due to an unknown gene, MGC8902 , which is predicted to encode multiple copies of a protein domain of unknown function (DUF1220). Sequences encoding these domains are virtually all primate-specific, show signs of positive selection, and are increasingly amplified generally as a function of a species' evolutionary proximity to humans, where the greatest number of copies (212) is found. DUF1220 domains are highly expressed in brain regions associated with higher cognitive function, and in brain show neuron-specific expression preferentially in cell bodies and dendrites.
- Published
- 2006
- Full Text
- View/download PDF
16. Modeling and experimental data using a new high rate ICP tool for dry etching 200 mm EPL masks
- Author
-
Pawitter J. S. Mangat, William J. Dauksher, S. Hall, S. B. Clemens, A. Chambers, Huma Ashraf, Janet Hopkins, L. Lea, Peter L. G. Ventzek, D. J. Resnick, K. H. Smith, Shahid Rauf, and P.J Stout
- Subjects
High rate ,Materials science ,Silicon ,business.industry ,Etching rate ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Optoelectronics ,Dry etching ,Electrical and Electronic Engineering ,business ,Electron-beam lithography - Abstract
EPL test vehicles, both SCALPEL and PREVAIL formats, have been dry etched in a new high rate ICP tool commercially available from STS. Equipment scale modeling was conducted to elucidate the physics of the reactor, and the associated feature evolution model was used to canvass etch parameter space. On 200 mm SCALPEL masks, the best experimental Bosch etch conditions yielded substrates with an effective silicon etch rate of 3.4 μm/min, 6.9% etch uniformity, selectivity to TEOS of 212:1, and average strut sidewall angles of 89.0°. Nearly identical performance was obtained on the PREVAIL format substrates.
- Published
- 2002
- Full Text
- View/download PDF
17. Silicon micromachining using a high-density plasma source
- Author
-
G. Nicholls, S. Mcauley, Huma Ashraf, Janet Hopkins, S. Hall, L. Atabo, and A. Chambers
- Subjects
Microelectromechanical systems ,Plasma etching ,Materials science ,Acoustics and Ultrasonics ,Silicon ,business.industry ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Surface micromachining ,chemistry ,law ,Etching (microfabrication) ,Optoelectronics ,Wafer ,Dry etching ,Photolithography ,business - Abstract
Dry etching of Si is critical in satisfying the demands of the micromachining industry. The micro-electro-mechanical systems (MEMS) community requires etches capable of high aspect ratios, vertical profiles, good feature size control and etch uniformity along with high throughput to satisfy production requirements. Surface technology systems' (STS's) high-density inductively coupled plasma (ICP) etch tool enables a wide range of applications to be realized whilst optimizing the above parameters. Components manufactured from Si using an STS ICP include accelerometers and gyroscopes for military, automotive and domestic applications. STS's advanced silicon etch (ASETM) has also allowed the first generation of MEMS-based optical switches and attenuators to reach the marketplace. In addition, a specialized application for fabricating the next generation photolithography exposure masks has been optimized for 200 mm diameter wafers, to depths of ~750 µm. Where the profile is not critical, etch rates of greater than 8 µm min-1 have been realized to replace previous methods such as wet etching. This is also the case for printer applications. Specialized applications that require etching down to pyrex or oxide often result in the loss of feature size control at the interface; this is an industry wide problem. STS have developed a technique to address this. The rapid progression of the industry has led to development of the STS ICP etch tool, as well as the process.
- Published
- 2001
- Full Text
- View/download PDF
18. Deep silicon etch modeling for fabrication of 200-mm SCALPEL masks
- Author
-
D. J. Resnick, I. Johnston, William J. Dauksher, S. Hall, L. Lea, B.N. Ramamurthi, S. B. Clemens, Janet Hopkins, K. H. Smith, Shahid Rauf, Peter L. G. Ventzek, Jyoti Kiron Bhardwaj, Huma Ashraf, Pawitter J. S. Mangat, and Valli Arunachalam
- Subjects
Microelectromechanical systems ,Plasma etching ,Materials science ,Fabrication ,Silicon ,business.industry ,Process (computing) ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Optoelectronics ,Dry etching ,Electrical and Electronic Engineering ,business ,Scale model ,Electron-beam lithography - Abstract
One avenue for increasing the available pattern area on SCALPEL masks is to gravitate towards a dry etch process for membrane fabrication. As mature as Bosch etch processes are for MEMS applications and the like, there is still significant process development required before the technology can be successfully ported to meeting the stringent requirements for SCALPEL mask fabrication. In order to better understand the Bosch process and reduce process development time, an integrated equipment and feature scale model has been created. Electron, neutral, ion, and radical density distributions in the STS reactor will be described in detail. Very good correlation is observed between experimental and calculated data. Most significantly, the computed output from the feature scale model is in excellent agreement with profiles experimentally generated.
- Published
- 2001
- Full Text
- View/download PDF
19. Recent advances in silicon etching for MEMS using the ASE™ process
- Author
-
I. Johnston, J. N. Shepherd, Huma Ashraf, Jyoti Kiron Bhardwaj, Alan Michael Hynes, and Janet Hopkins
- Subjects
Microelectromechanical systems ,Plasma etching ,Materials science ,Silicon ,business.industry ,Metals and Alloys ,Process (computing) ,chemistry.chemical_element ,Nanotechnology ,Photoresist ,Condensed Matter Physics ,Engraving ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,visual_art ,visual_art.visual_art_medium ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business ,Instrumentation ,Silicon etching - Abstract
In the ongoing enhancement of MEMS applications, the STS Advanced Silicon Etch, ASE™, process satisfies the demanding requirements of the industry. Typically, highly anisotropic, high aspect ratios profiles with fine CD control are required. Selectivities to photoresist of 150:1 with Si etch rates of up to 7 μm/min are achievable. Applications range from shallow etched optical devices to through wafer membrane etches. This paper details some of the fundamental trends of the ASE™ process and goes on to discuss how the process has been enhanced to meet product specifications. Parameter ramping is a powerful technique used to achieve the often conflicting requirements of high etch rate with good profile/CD control. The results are presented in this paper.
- Published
- 1999
- Full Text
- View/download PDF
20. Tykes and trikes (smart trike)
- Author
-
Janet Hopkins
- Subjects
Science and technology - Published
- 2003
21. Developments in Si and SiO 2 etching for MEMS-based optical applications
- Author
-
Richard Barnett, Janet Hopkins, Lee Adrian Donohue, Alex J. Barker, and Andrew Newton
- Subjects
Microelectromechanical systems ,Fabrication ,Materials science ,Interference (communication) ,business.industry ,Etching (microfabrication) ,Transmittance ,Deep reactive-ion etching ,Optoelectronics ,Nanotechnology ,Wafer ,Reactive-ion etching ,business - Abstract
Over the last decade the design and reactive ion etch based fabrication of a range of innovative Si and SiO2 MEMS based optical transmission devices has significantly increased. These devices rely on the principle that the data contained within the transmitted light retains its integrity, hence it is important that the reflected light does not suffer interference and losses from the surface used to direct it. To achieve this, reflecting surfaces need to be as smooth as possible, without compromising processing etch rate, sidewall profile and cross-wafer uniformity. This paper describes the results of recent hardware and process development trials using time multiplexed silicon ICP etch processing (STS ASE) at reduced switching times to provide vertical sidewalls at less than 10nm RMS roughness. For dielectric etch optical applications requiring high aspect ratio (>10:1) or through wafer depth capability (400mm at 1.2μm/min), we also report the results of process development trials using STS Advanced Oxide Etch (AOE) technology.
- Published
- 2004
- Full Text
- View/download PDF
22. Comparison of polymorphisms in the alpha7 nicotinic receptor gene and its partial duplication in schizophrenic and control subjects
- Author
-
Judith Gault, Robert Freedman, Randal G. Ross, Carla Drebing, Ruby Vianzon, Janet Hopkins, Judith Logel, Lawrence E. Adler, Ann Olincy, Sherry Leonard, Ralph Berger, Catherine Walton, and Margaret Short
- Subjects
Candidate gene ,alpha7 Nicotinic Acetylcholine Receptor ,Genetic Linkage ,Molecular Sequence Data ,Biology ,Receptors, Nicotinic ,medicine.disease_cause ,Exon ,Genetic linkage ,Gene Duplication ,Gene duplication ,medicine ,Coding region ,Humans ,Promoter Regions, Genetic ,Gene ,Genetics (clinical) ,Polymorphism, Single-Stranded Conformational ,Genetics ,Mutation ,Chromosomes, Human, Pair 15 ,Base Sequence ,CHRNA7 ,Genetic Variation ,Exons ,Sequence Analysis, DNA ,Molecular biology ,Introns ,biology.protein ,Schizophrenia - Abstract
The hypothesis that the 15q13-15 region of chromosome 15 contains a gene that contributes to the etiology of schizophrenia is supported by multiple genetic linkage studies. The alpha7 neuronal nicotinic acetylcholine receptor (CHRNA7) gene was selected as the best candidate gene in this region for molecular investigation, based on these linkage findings and biological evidence in both human and rodent models. CHRNA7 receptors are decreased in expression in postmortem brain of schizophrenic subjects. A dinucleotide marker, D15S1360, in intron two of the CHRNA7 gene is genetically linked to an auditory gating deficit found in schizophrenics and half of the first-degree relatives of patients. Single strand conformation polymorphism (SSCP) and sequence analyses of DNA from schizophrenic and control individuals identified 33 variants in the coding region and intron/exon borders of the CHRNA7 gene and its partial duplication, dupCHRNA7; common polymorphisms were mapped. Twenty-one variants were found in the exons, but non-synonymous changes were rare. Although the expression of CHRNA7 is decreased in schizophrenia, the general structure of the remaining receptors is likely to be normal.
- Published
- 2003
23. Low-vision softwarestrategies
- Author
-
Janet, Hopkins
- Subjects
Directories as Topic ,Humans ,Vision, Low ,Computer Peripherals ,Software - Published
- 2003
24. Performance enhancement and evaluation of deep dry etching on a production cluster platform
- Author
-
Eric van den Heuvel, Alexandra L. Rickard, Huma Ashraf, Fred Roozeboom, Corrado Di Luciano, Jyrki Kiihamäki, Serrita A. McAuley, Glenn Nicholls, C. Pickering, Janet Hopkins, Richard Barnett, Anton Kemmeren, Paulo Schina, Jan Verhoeven, Colin Stephen Gormley, Iain M. Young, and Mark Edward Mcnie
- Subjects
Microelectromechanical systems ,SOI ,Silicon ,business.industry ,Computer science ,Microfluidics ,microfluidics ,Silicon on insulator ,chemistry.chemical_element ,silicon ,Nanotechnology ,silicon-on-insulator ,MEMS ,Reliability (semiconductor) ,chemistry ,deep dry etching ,Deep reactive-ion etching ,etching ,inductively coupled plasma etching ,Dry etching ,Process engineering ,business ,Throughput (business) - Abstract
Over the last 5 years, deep dry etching of silicon has developed into a mainstream microsystems process technology. To transition from R&D into production, some of the main issues to address are the CoO (cost of ownership), reliability and reproducibility of capital equipment. Commensurate with this, it is essential to achieve high etch rates with good profile control. MICROSPECT (Microsystems Production Evaluated Cluster Tool), a project within the EC SEA programme, has sought to address these issues. The project has evaluated and significantly enhanced the performance of STS ASE modules for deep dry etching on an ASPECTHR production cluster platform. The development phase of the project has provided an ideal opportunity for the equipment supplier to test and respond to feedback on the tool and the latest hardware and software developments with multiple end users, including a new high density inductively coupled plasma (ICP) source. This has resulted in higher etch rates for greater throughput and improved profile control across a variety of applications, including silicon-on-insulator (SOI)-based MEMS and microfluidics. During the evaluation phase, the system was operated under close-to-production conditions to establish system reliability and metrics.
- Published
- 2003
- Full Text
- View/download PDF
25. ADVANCES IN DEEP ANISOTROPIC SILICON ETCH PROCESSING FOR MEMS
- Author
-
S. Hall, Alan Michael Hynes, Janet Hopkins, M. E. Ryan, Jyoti Kiron Bhardwaj, Huma Ashraf, S. Watcham, G. Nicholls, I. Johnston, S. Mcauley, and L. Atabo
- Subjects
Microelectromechanical systems ,Materials science ,Silicon ,chemistry ,Electronic engineering ,Deep reactive-ion etching ,chemistry.chemical_element ,Nanotechnology ,Anisotropy - Published
- 2000
- Full Text
- View/download PDF
26. Etching 200-mm diameter SCALPEL masks with the ASE process
- Author
-
Avi Kornblit, Lilian Atabo, Alan Michael Hynes, Janet Hopkins, Anthony E. Novembre, Ian R. Johnston, Jy Bhardwaj, Serrita A. McAuley, Huma Ashraf, Gregory R. Bogart, Stephen Hall, and Glenn Nicholls
- Subjects
Plasma etching ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Nanotechnology ,chemistry ,Etch pit density ,Etching (microfabrication) ,Optoelectronics ,Wafer ,Crystalline silicon ,Dry etching ,Reactive-ion etching ,business - Abstract
The Advanced Silicon Etch (ASER) process has been used for silicon substrate etching for the manufacture of SCALPELR (SCattering using Angular Limitation Projection E-beam Lithography) masks. The current SCALPELR mask fabrication process uses an aqueous solution of KOH to etch the membrane support struts in 100 mm diameter, crystalline silicon wafers. This technique is undesirable for the manufacture of large diameter masks with thicker substrates, as it limits the maximum printable die size. Inductively coupled plasma (ICP) etching, using the ASER process, provides the only alternative etch technique. This gives support struts with vertical profiles, yielding a higher printable area than with wet etching, and is ideal for etching the substrates of large diameter masks. In addition to this, and to the benefits of dry over wet etching, the ASER process allows the use of wafers of any crystal orientation and gives greater flexibility in pattern placement and geometry. This paper presents process optimization data based on 200 mm diameter wafers, using a system designed specifically for this application. The key aspects of this work have focused on etch rate, CD control and uniformity enhancement. Etch rate determines the economic feasibility of this approach, particularly with etch depths of approximately 750 micrometer. Uniform etching is required to minimize the time to clear the membranes, and the CD tolerances must be met so that structural integrity is maintained. The large exposed silicon areas, (> 40% global and > 80% local), the macro loading effects caused by the edge of the pattern, and the need for near vertical strut profile, make these requirements more difficult to achieve. Etch rate and uniformity achieved, exceed the minimum specification of > 2 micrometer/min and < +/- 6% respectively.© (2000) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
- Published
- 2000
- Full Text
- View/download PDF
27. The Benefits of Process Parameter Ramping During The Plasma Etching of High Aspect Ratio Silicon Structures
- Author
-
Jyoti Kiron Bhardwaj, Huma Ashraf, J. N. Shepherd, I. Johnston, Alan Michael Hynes, and Janet Hopkins
- Subjects
Microelectromechanical systems ,Plasma etching ,Materials science ,Silicon ,business.industry ,Process (computing) ,chemistry.chemical_element ,Process variable ,Photoresist ,chemistry ,Optoelectronics ,Wafer ,business ,Critical dimension - Abstract
In the ongoing enhancement of MEMS applications, the STS Advanced Silicon Etch, (ASETM). process satisfies the demanding requirements of the industry. Typically, highly anisotropic. high aspect ratios profiles with fine CD (critical dimension) control are required. Selectivities to photoresist of 150:1 with Si etch rates of up to 10μm/min are demonstrated. Applications range from shallow etched optical devices to through wafer membrane etches. This paper details some of the fundamental trends of the ASETM process and goes on to discuss how the process has been enhanced to meet product specifications. Parameter ramping is a powerful technique used to achieve the often-conflicting requirements of high etch rate with good profile/CD control. The results are presented in this paper.
- Published
- 1998
- Full Text
- View/download PDF
28. Modeling and development of a deep silicon etch process for 200 mm election projection lithography mask fabrication
- Author
-
D. J. Resnick, Huma Ashraf, William J. Dauksher, S. Hall, Janet Hopkins, Jyoti Kiron Bhardwaj, I. Johnston, Pawitter J. S. Mangat, Shahid Rauf, Peter L. G. Ventzek, K. H. Smith, A. Chambers, S. B. Clemens, and L. Lea
- Subjects
Fabrication ,Materials science ,Silicon ,Passivation ,business.industry ,General Engineering ,chemistry.chemical_element ,Optics ,chemistry ,Deposition (phase transition) ,Wafer ,Projection (set theory) ,business ,Lithography ,Electron-beam lithography - Abstract
Gravitation toward a dry silicon etch process for electron projection lithography (EPL) mask fabrication is beneficial because of the concomitant increase in the available membrane area. In order to help understand the complex Bosch etch process and its dependence upon hardware design and process parameters for scattering with angular limitation in projection election beam lithography (SCALPEL) mask fabrication, a combined equipment and feature scale model has been employed. For case studies such as varying the deposition cycle time or ramping the bias power, computed sidewall profiles from the model deviate from experimental data by only about 5.5%. Given the extreme complexity of the Bosch process, this correlation is considered excellent. The best experimental Bosch etch conditions produce SCALPEL substrates with an effective silicon etch rate of 2.7 μm/min, 6.1% etch uniformity, selectivity to tetraethyl orthosilicate >240:1, and average strut sidewall angles of 87.4°. Introduction of hardware into the chamber results in partially blocking the transport of important etch and polymer deposition species to the wafer, thereby altering the etch and passivation rates. The provided learning is applicable to projection reduction exposure with variable axis immersion lenses format EPL masks as well.
- Published
- 2001
- Full Text
- View/download PDF
29. Letters to the Editor
- Author
-
Janet Hopkins and Louis R. Testardi
- Subjects
General Materials Science ,Physical and Theoretical Chemistry ,Condensed Matter Physics - Published
- 1995
- Full Text
- View/download PDF
30. Identification and characterization of Campylobacter jejuni outer membrane proteins
- Author
-
Martin J. Blaser, M L Vasil, R M Berka, Janet Hopkins, and W L Wang
- Subjects
Immunology ,medicine.disease_cause ,Microbiology ,Campylobacter jejuni ,Campylobacter fetus ,Bacterial Proteins ,Species Specificity ,medicine ,Polyacrylamide gel electrophoresis ,Gel electrophoresis ,biology ,Campylobacter ,Membrane Proteins ,Sugar Acids ,biology.organism_classification ,Molecular biology ,Molecular Weight ,Infectious Diseases ,Membrane protein ,Campylobacter coli ,Electrophoresis, Polyacrylamide Gel ,Parasitology ,Bacterial outer membrane ,Research Article ,Bacterial Outer Membrane Proteins - Abstract
Outer membrane proteins from isolates of Campylobacter jejuni were examined by sodium dodecyl sulfate-polyacrylamide gel electrophoresis. Sarcosinate-insoluble membrane preparations were outer membrane enriched based on increased ketodeoxyoctonate concentrations, the presence of surface-exposed 125I-labeled proteins that were hydrophobic, and similarity to membrane vesicle (bleb) sodium dodecyl sulfate-polyacrylamide gel electrophoresis profiles. Most isolates contained a single major band with molecular weight of 41,000 to 45,000. Profiles of C. jejuni and Campylobacter coli isolates were indistinguishable, but either could be easily differentiated from Campylobacter fetus and Campylobacter faecalis. The profiles were stable for strains under a variety of growth, incubation and passage conditions. We classified 110 isolates from patients with sporadic campylobacter enteritis into nine subtypes based on differences in outer membrane sodium dodecyl sulfate-polyacrylamide gel electrophoresis profiles. Two categories accounted for 76% of the isolates. Complete concordance was observed in subtypes of strains obtained from epidemiologically related cases. Thus, comparison of the major outer membrane proteins of C. jejuni is a useful technique for investigating the transmission of this organism and may provide a basis for immunological characterization of the outer membrane proteins.
- Published
- 1983
- Full Text
- View/download PDF
31. Campylobacter jejuni outer membrane proteins are antigenic for humans
- Author
-
Martin J. Blaser, M L Vasil, and Janet Hopkins
- Subjects
Adult ,Antigenicity ,Immunology ,chemical and pharmacologic phenomena ,medicine.disease_cause ,Microbiology ,Campylobacter jejuni ,Antigen-Antibody Reactions ,Mice ,Campylobacter fetus ,Antigen ,Western blot ,Pregnancy ,Campylobacter Infections ,medicine ,Animals ,Bile ,Chemical Precipitation ,Humans ,Child ,Immunosorbent Techniques ,Antigens, Bacterial ,biology ,medicine.diagnostic_test ,Milk, Human ,Campylobacter ,Membrane Proteins ,biology.organism_classification ,bacterial infections and mycoses ,Fetal Blood ,Virology ,Antibodies, Bacterial ,Enteritis ,Infectious Diseases ,biology.protein ,bacteria ,Parasitology ,Female ,Rabbits ,Antibody ,Bacterial outer membrane ,Research Article ,Bacterial Outer Membrane Proteins - Abstract
All Campylobacter jejuni strains have a major outer membrane protein (OMP) that migrates between a molecular weight of 41,000 (41K) and 45K and represents more than 50% of protein present, plus several more minor bands. Using 125I-radiolabeled C. jejuni cells in a radioimmunoprecipitation procedure to assess whether the OMPs were antigenic, we studied serum from rabbits immunized with C. jejuni cells, from humans convalescent after C. jejuni infection, and from appropriate controls. In this assay, the major OMP was the major antigen for both homologously and heterologously immunized rabbits and infected humans but not for controls. Minor bands at 29K and 50K were also antigenic. We tested human and animal sera in a Western blot procedure using anti-immunoglobulin A (IgA), anti-IgG, or anti-IgM conjugates. Homologous and heterologous immune rabbit serum, but not control serum, recognized a large number of membrane proteins between 15K and 91K, including the major OMP. Both Campylobacter spp.-infected and healthy humans showed IgA, IgG, and IgM responses to the major OMP, although the response was more pronounced in the former group. Sera from infected humans recognized several minor bands to a significantly greater extent than control sera did. Our data suggest that there is antigenic similarity between the OMPs of different C. jejuni strains and that some of these OMPs recognized by infected animals and humans have vaccinogenic potential.
- Published
- 1984
32. Phenacetin and analgesic nephropathy
- Author
-
Janet Hopkins and Alastair Burry
- Subjects
Adult ,Male ,medicine.medical_specialty ,Kidney ,Gastroenterology ,Nephropathy ,Internal medicine ,medicine ,Humans ,Prospective survey ,Aged ,Analgesics ,business.industry ,Incidence (epidemiology) ,Australia ,Phenacetin ,General Medicine ,Middle Aged ,Analgesic nephropathy ,medicine.disease ,Drug Combinations ,Compound analgesic ,Female ,Kidney Papillary Necrosis ,business ,Crystallization ,medicine.drug - Abstract
A prospective survey of 322 autopsies of adults conducted some months after phenacetin was removed from a popular compound analgesic showed to reduction in the incidence of advanced or earlier forms of analgesic nephropathy from levels noted in an earlier survey. Apparently active nephropathy was seen in persons taking two different compound analgesics, neither now containing phenacetin. Crystals observed in early and intermediate analgesic nephropathy had no diagnostic significance and represented a tissue breakdown product.
- Published
- 1977
33. Defining conditions for the etching of silicon in an inductive coupled plasma reactor
- Author
-
Huma Ashraf, I. Johnston, E. Guibarra, Alan Michael Hynes, S. Mcauley, Janet Hopkins, Jyoti Kiron Bhardwaj, S. Hall, G. Nicholls, Paul O'Brien, and L. Lea
- Subjects
Microelectromechanical systems ,Plasma etching ,Materials science ,Silicon ,chemistry ,Etching (microfabrication) ,Analytical chemistry ,chemistry.chemical_element ,Capacitively coupled plasma ,Wafer ,Reactive-ion etching ,Inductively coupled plasma ,Engineering physics - Abstract
In high-density fluorinated plasma processes, the mechanisms that fundamentally limit the etching of silicon are poorly understood. In an effort to improve our understanding of limits to the performance of such systems, the etching of silicon wafers in an inductive coupled plasma reactor, using SF6, has been studied. A systematic empirical investigation has allowed us to define many of the experimental parameters that control the etching rate.There is little temperature dependence of etching which suggests a diffusion limited process. Systematic variation of parameters controlling the rate of etching: total pressure in the reactor, flow rate, partial pressure of reactive species and the rf power supplied to the discharge enable us to accurately define the performance of the system. Experiments, which segregate the physical and chemical components of the etching process, support the conclusion that etching is dominated by electrically neutral species. These various results are interpreted in terms of accepted models for the reactive chemistry in plasmas containing SF6.The MEMS industry is placing ever greater demands on etching processes, and there is a need to achieve the high degrees of anisotropy, and critical dimension control, at high etch-rates. The approach outlined allows us to develop effective strategies for evolving improved systems for the high rate plasma etching of silicon.
34. A Dry Etch Approach To Reduce Roughness And Eliminate Visible Grind Marks In Silicon Wafers Post Back-grind
- Author
-
Roland Mumford, Janet Hopkins, and Owen Guy
- Subjects
Electrical and Electronic Engineering ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.