122 results on '"Iacopo Mochi"'
Search Results
2. EUV grazing-incidence lensless imaging wafer metrology
- Author
-
Tao Shen, Paolo Ansuinelli, Iacopo Mochi, and Yasin Ekinci
- Published
- 2023
3. Reflective grazing incidence EUV nanoscope for wafer metrology
- Author
-
Tao Shen, Yasin Ekinci, and Iacopo Mochi
- Published
- 2022
4. EUV interference lithography: impact of mask roughness on feature patterning
- Author
-
Timothée P. Allenet and Iacopo Mochi
- Published
- 2022
5. Photon flux dependent image resolution of reflective ptychographic microscope for extreme ultraviolet actinic mask metrology
- Author
-
Hyun-Su Kim, Ricarda Nebling, Atoosa Dejkameh, Tao Shen, Yasin Ekinci, and Iacopo Mochi
- Published
- 2022
6. EUV mask defect material characterization through actinic lensless imaging
- Author
-
Tao Shen, Dimitrios Kazazis, Hyun-Su Kim, Atoosa Dejkameh, Ricarda Nebling, Yasin Ekinci, and Iacopo Mochi
- Published
- 2022
7. Mixed-ligand zinc-oxoclusters
- Author
-
Neha Thakur, Sonia Castellanos, Iacopo Mochi, Roland Bliem, Yasin Ekinci, Michaela Vockenhuber, HIMS Other Research (FNWI), and IoP (FNWI)
- Subjects
Materials science ,Fabrication ,business.industry ,Extreme ultraviolet lithography ,General Chemistry ,Photoresist ,Nanolithography ,Resist ,Materials Chemistry ,Miniaturization ,Optoelectronics ,business ,Spectroscopy ,Lithography - Abstract
Extreme ultraviolet lithography (EUVL) is the current technology used in the semiconductor industry for the fabrication of integrated circuits (ICs), since it enables the further miniaturization of their components. For its optimal operation, photoresist materials that can efficiently use EUV photons (92 eV) to yield sub-10 nm patterns are required. However, there is a lack of understanding of the complex mechanisms induced by EUV radiation. In this work, we investigate the ability of a new Zn-based oxocluster to fulfil the state-of-the-art requirements for EUVL. This molecular material was conceived to provide high EUV absorptivity, owing to its contents of Zn, F, and O atoms, and high resolution, given its small molecular size. High sensitivity/reactivity towards EUV is achieved through its mixed-ligand organic shell composed of methacrylate and trifluoroacetate ligands. This new resist shows outstanding lithography performance yielding down to 22 nm half pitch line/space patterns at ∼20 mJ cm-2. Spectroscopy studies on EUV exposed samples revealed an unexpected reaction pathway where fluoride ions are formed. This is an unprecedented way of efficiently inducing a solubility switch in an inorganic resist upon EUV irradiation.
- Published
- 2020
8. Resolution limit and photon flux dependency in EUV ptychography
- Author
-
Yasin Ekinci, Hyun-Su Kim, Ricarda Nebling, Atoosa Dejkameh, Iacopo Mochi, and Tao Shen
- Subjects
Diffraction ,Materials science ,Microscope ,business.industry ,Image quality ,Extreme ultraviolet lithography ,Detector ,Mask inspection ,Coherent diffraction imaging ,Ptychography ,law.invention ,Optics ,law ,business - Abstract
With the transition of EUV lithography to high volume manufacturing, EUV mask metrology has become a critical requirement. At PSI, we are developing RESCAN, a lensless actinic microscope dedicated to EUV mask inspection. RESCAN is based on coherent diffraction imaging (CDI), a method that reconstructs the complex image of the sample through its diffraction spectrum measured with a CCD detector. While this approach can overcome the cons and limitations of traditional optical imaging systems, in CDI, the quality of the recorded diffraction data is crucial for the reliable reconstruction of a high-resolution image. Ultimately, the signal-to-noise ratio of the recorded diffraction data depends on several parameters, such as the reflectance of the sample, the quantum efficiency of the detector, its full well capacity, and the intensity of the illumination. This paper investigates the optimal photon flux for RESCAN and analyzes the relation between the image quality and the EUV illumination intensity for a CDI-based imaging tool dedicated to EUV mask inspection and review.
- Published
- 2021
9. Contacts and lines SEM image metrology with SMILE
- Author
-
Yasin Ekinci, Iacopo Mochi, Michaela Vockenhuber, and Timothée P. Allenet
- Subjects
Nanolithography ,Software ,Computer science ,business.industry ,Extreme ultraviolet lithography ,Computer vision ,Surface finish ,Artificial intelligence ,User interface ,Photoresist ,business ,Critical dimension ,Metrology - Abstract
SMILE is a free and open-source software for the analysis of SEM images of lines and spaces patterns. We developed SMILE, in particular, to provide reliable metrology for images of high-resolution photoresist patterns obtained with EUV lithography. Our motivation is also to improve the consistency of the reported performance parameters, e.g., line-width roughness (LWR) and critical dimension (CD), in the nanolithography and EUVL communities. In its original version, SMILE offered the possibility to measure the CD of the lines and their unbiased LWR. Since its release, the software user interface and core functionalities have been substantially upgraded. In particular, SMILE is now capable of reporting unbiased LWR and LER, performing primary statistical analyses of metrics evaluated over multiple images, and analyzing SEM images of contact hole or pillar arrays. In this paper, we will discuss the new functionalities of SMILE and the algorithms used to detect the contact holes and their parameters.
- Published
- 2021
10. A priori information in ptychographic image reconstruction for EUV mask metrology
- Author
-
Tao Shen, Ricarda Nebling, Yasin Ekinci, Hyun-Su Kim, Iacopo Mochi, and Atoosa Dejkameh
- Subjects
Signal-to-noise ratio ,Extreme ultraviolet lithography ,Shot noise ,Iterative reconstruction ,Photomask ,Phase retrieval ,Algorithm ,Ptychography ,Metrology - Abstract
EUV photomasks define the lithographic layers of chips, which are binary structures of relatively low versatility in contrast to specimen in biology or materials science. This knowledge can be used in EUV photomask imaging and inspection methods to improve the speed or sensitivity. We present here a total variation-based phase retrieval algorithm similar to previous methods by Chang et al. and Enfedaque et al. for EUV mask imaging and metrology. Total variation (TV) regularization exploits the binary structure of the reticles, enforcing a sparse sample gradient. We compare the TV regularized algorithm, PtychoADMM, to a standard phase retrieval approach, the difference map (DM). For simulated data containing Poisson noise, we do not observe a benefit from using the TV based PtychoADMM algorithm. The reconstructed image quality is similar, while PtychoADMM being a computationally more demanding method. In future, we will investigate if TV can recover information where the standard DM approach fails, e.g. for relaxed measurement requirements like a lower signal to noise ratio or less probe overlap in the ptychography scan.
- Published
- 2021
11. Missing frequency recovery through ptychography
- Author
-
Tao Shen, Atoosa Dejkameh, Iacopo Mochi, Ricarda Nebling, Yasin Ekinci, and Hyun-Su Kim
- Subjects
Diffraction ,Optics ,Pixel ,business.industry ,Computer science ,Detector ,Reconstruction algorithm ,Iterative reconstruction ,business ,Phase retrieval ,Coherent diffraction imaging ,Ptychography - Abstract
High-resolution imaging at short wavelengths from extreme ultraviolet to hard X-rays has many applications in a plethora of fields from astronomy to biology and semiconductor metrology. Unfortunately, efficient optics for these wavelengths are difficult to manufacture or have limited resolution. For this reason, in the past few years, coherent diffraction imaging (CDI) applications become widely used. In CDI, the object is illuminated by a coherent beam and the diffraction intensity is collected by a 2D pixel detector. In this process, the phase information of the diffracted light is lost. A phase retrieval algorithm is then used to reconstruct the object’s complex amplitude. Ptychography is a scanning version of coherent diffraction imaging and it is based on an iterative reconstruction algorithm that relies on the quality of the recorded diffraction intensity to converge. To obtain diffraction patterns with a high signal-to-noise ratio, a beam stop is used in many ptychography setups to avoid over-saturation and blooming effects on the detector. While using a beam stop in a ptychography setup has become common practice, the limits of affordable data loss due to beam stop have not been systematically investigated. Pixel masking is the conventional method to recover the lost frequencies. In this method, when enforcing the Fourier domain constraint, the invalid pixels are ignored. In the missing data region, the algorithm is allowed to keep the guess from the previous iteration. The illumination conditions of the ptychography experiment play a critical role in the signal recovery procedure. The diffraction pattern on the detector is the convolution of the Fourier transform of the object and the illumination. An illumination with a finite numerical aperture encodes the object information over a larger detector area. This makes the reconstruction algorithm more robust to pixel loss. We provide simulation and experimental results to demonstrate this theory.
- Published
- 2021
12. Ongoing development of ultrafast DUV pulse laser repair for EUV photomasks
- Author
-
Yasin Ekinci, Jeff LeClaire, Dimitrios Kazazis, Ricarda Nebling, Tod Robinson, and Iacopo Mochi
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,medicine.disease_cause ,Laser ,Pulsed laser deposition ,law.invention ,law ,Extreme ultraviolet ,Femtosecond ,medicine ,Optoelectronics ,Photomask ,business ,Ultrashort pulse ,Ultraviolet - Abstract
In prior work, progress was shown in the systematic characterization of the process space for efficient and effective repair of extreme ultraviolet (EUV) photomasks using an ultrafast (femtosecond) pulsed deep ultraviolet (DUV) laser apparatus. In this work, the full analysis and conclusions, along with any additional test results are shown. This includes an analysis of the impact of laser repair on the phase shift of the multilayer using multiple processes.
- Published
- 2021
13. Lensless EUV Lithography and Imaging
- Author
-
Yasin Ekinci and Iacopo Mochi
- Subjects
Nuclear and High Energy Physics ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Potential candidate ,Radiation ,medicine.disease_cause ,Atomic and Molecular Physics, and Optics ,Characterization (materials science) ,Optics ,Extreme ultraviolet ,medicine ,business ,Ultraviolet - Abstract
Extreme ultraviolet (EUV) radiation is a powerful tool for material characterization; for many years, it has been developed as a potential candidate to replace deep ultraviolet (DUV) in the photoli...
- Published
- 2019
14. Progress in EUV-interference lithography resist screening towards the deployment of high-NA lithography
- Author
-
Michaela Vockenhuber, Timothée P. Allenet, Yasin Ekinci, L. Van Lent-Protasova, Xiaolong Wang, Jara Garcia Santaclara, Iacopo Mochi, and Chia-Kai Yeh
- Subjects
Beamline ,Resist ,Software deployment ,Computer science ,Extreme ultraviolet lithography ,Engineering physics ,Lithography ,Swiss Light Source ,Metrology ,Interference lithography - Abstract
The development of EUV resists is one of the major challenges for the deployment of high-NA EUV lithography, which is on the roadmap for high-volume manufacturing of future semiconductor technology nodes. Resist performance is admittedly governed by a resolution-roughness-sensitivity (RLS) tradeoff. This study reports on the EUV resist progress achieved during the last year in the framework of the resist screening program by PSI and ASML. An extensive performance characterization of different resists was carried out using the XIL-II beamline EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS). We present the upgraded screening metrology used in 2020 at PSI enabling increased confidence in results. We report material performance towards patterning lines/spaces features with respect to the key parameters of RLS: half-pitch (HP), dose-to-size (DtS), line-width-roughness (LWR), as well as Z-factor for overall performance consideration. General progress in EUV resist development is reviewed by considering several resist platforms available today from different vendors. Different molecular and chemically amplified resist materials are demonstrated as viable for 16 nm resolution technology nodes. One chemically-amplified resist vendor shows suitable materials for 13-nm-resolution technologies while exhibiting potential for further downscaling. We present different metal-oxide resists screening with resolutions down to 10 nm HP. We finally discuss the overall progress of materials achieved between 2019 and 2020 towards reaching high-NA.
- Published
- 2021
15. Lensless EUV mask inspection for anamorphic patterns
- Author
-
Atoosa Dejkameh, Iacopo Mochi, Kazazis Dimitrios, Tao Shen, Hyun-Su Kim, Uldis Locans, Ricarda Nebling, and Yasin Ekinci
- Subjects
Optics ,Microscope ,business.industry ,law ,Computer science ,Extreme ultraviolet lithography ,Mask inspection ,business ,Projection (set theory) ,Integrated circuit layout ,law.invention - Abstract
Anamorphic mask design is necessary to overcome the geometrical limitation imposed by the reflective geometry used in EUV lithography projection systems and will be used in high-NA EUVL scanners. In this study, we demonstrate the capability of anamorphic imaging using CDI. We created anamorphic EUV masks with programmed defects and inspected it with RESCAN, a lensless microscope dedicated to EUV mask inspection.
- Published
- 2021
16. High resolution and uniform image reconstruction in a large field-of-view for EUV actinic mask review
- Author
-
Atoosa Dejkameh, Yasin Ekinci, Uldis Locans, Dimitrios Kazazis, Hyun-Su Kim, Iacopo Mochi, and Ricarda Nebling
- Subjects
Diffraction ,Optics ,Image quality ,Computer science ,business.industry ,Extreme ultraviolet lithography ,Mask inspection ,Iterative reconstruction ,Photomask ,business ,Phase retrieval ,Ptychography - Abstract
Actinic EUV mask metrology is essentially needed for EUV lithography in the semiconductor device manufacturing process. At PSI, we are developing RESCAN, a coherent diffractive imaging (CDI)-based platform that can meet current and future mask inspection resolution requirements. In CDI, the diffraction patterns obtained by illuminating the sample with coherent light are recorded by a pixel detector, and these are used to reconstruct the complex-amplitude image of an object through an iterative phase retrieval algorithm. While in a conventional optical system, aberrations can compromise the final image's resolution, the CDI approach is inherently aberration-free. Nevertheless, a careful preprocessing of the diffraction signal is necessary to avoid artifacts in the reconstructed image. In particular, since our system works in reflection mode with an angle of incidence of 6° and uses a flat detector, it is necessary to correct the recorded diffraction patterns that are conically distorted due to the non-telecentricity. This paper discusses the impact of the diffraction data preprocessing on the reconstructed image quality and demonstrates the defect sensitivity improvement by applying an optimized data preprocessing pipeline in the RESCAN microscope. As a result, we achieve defect sensitivity down to 20 nm on the photomask and uniform image quality in a large field-of-view.
- Published
- 2020
17. Laser repair and clean of extreme ultraviolet lithography photomasks
- Author
-
Yasin Ekinci, Ricarda Nebling, Jeff LeClaire, Dimitrios Kazazis, Tod Robinson, and Iacopo Mochi
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Design of experiments ,medicine.disease_cause ,Laser ,Blank ,Metrology ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,medicine ,Optoelectronics ,Photomask ,business ,Hydrogen silsesquioxane ,Ultraviolet - Abstract
This publication is a continuation of a prior work on the process space available for the repair and localized cleaning of extreme ultraviolet lithography (EUVL) photomasks with the fpIII femto-pulsed deep ultraviolet (UV) repair tool. This next phase of work was done in partnership with the Paul Scherrer Institute (PSI) to provide a more systematic examination of the process space. In these tests, specialized cells were produced to systematically test the effect of variations in the fpIII laser parameters with both carbon and HSQ (hydrogen silsesquioxane) absorber material pin dots on multilayer fields, according to design of experiments (DOE) methodology. Blank (no pin dot) test cells and pin dots were inspected both with nmVI AFM and PSI RESCAN EUV-actinic lensless metrology before and after laser processing. This data was then analyzed with full-factorial DOE, and less structured techniques, to provide insights into the capability of a modelled optimal fpIII laser process.
- Published
- 2020
18. Open-source software for SEM metrology
- Author
-
Timothée P. Allenet, Yasin Ekinci, Michaela Vockenhuber, and Iacopo Mochi
- Subjects
Engineering drawing ,Software ,Computer science ,business.industry ,Open source software ,Matlab code ,Python (programming language) ,business ,computer ,Edge detection ,computer.programming_language ,Metrology - Abstract
We present SMILE, an open source software for the characterization of line and space patterns in SEM images. SMILE has been developed to provide a metrology platform which is open-source and, as such, easy to customize to specific needs and simple to integrate into a chain of analysis. SMILE is used to measure CD, LWR and unbiased LWR. The software is currently available as MATLAB code and under development for open platforms such as Python or Octave. Here we describe the main features of the software, its structure and the algorithms used to perform line edge detection, LWR calculation and LWR unbiasing.
- Published
- 2020
19. Effects of the illumination NA on EUV mask inspection with coherent diffraction imaging
- Author
-
Atoosa Dejkameh, Iacopo Mochi, Hyun-Su Kim, Yasin Ekinci, Ricarda Nebling, and Uldis Locans
- Subjects
Diffraction ,Microscope ,Materials science ,business.industry ,Image quality ,Extreme ultraviolet lithography ,Mask inspection ,Coherent diffraction imaging ,Ptychography ,law.invention ,Optics ,law ,Reticle ,business - Abstract
RESCAN is a coherent diffraction imaging based APMI microscope prototype. A complex image of the EUV reticle is reconstructed from diffraction patterns collected on a CCD detector. With the next upgrade of the tool, the resolution will be enhanced from the current 34 nm down to 20 nm on mask. Also the illumination NA value will change from the current range of 0.002 to 0.02 to a value of 0.035. Here, we study how a change of the illumination NA affects the EUV mask inspection in simulation. We observe a better image quality, lower object error and higher defect sensitivity with increasing illumination NA.
- Published
- 2020
20. Progress in EUV resists status towards high-NA EUV lithography
- Author
-
Xiaolong Wang, Li-Ting Tseng, Chia-Kai Yeh, Rolf Custers, Jara Garcia Santaclara, Iacopo Mochi, Yasin Ekinci, Michaela Vockenhuber, Lidia van Lent-Protasova, and Timothée P. Allenet
- Subjects
Depth of focus ,Materials science ,Resist ,business.industry ,Extreme ultraviolet lithography ,Optoelectronics ,New materials ,Surface finish ,business ,Exposure latitude ,Lithography ,Interference lithography - Abstract
High-NA extreme ultraviolet lithography (EUVL) is going to deliver the high-volume manufacturing (HVM) patterning for sub-3nm technology node for the semiconductor industry. One of the critical challenges is to develop suitable EUV photoresists at high resolution with high sensitivity and low line-width roughness (LWR) at reduced film thickness needed for high-NA by its reduced depth of focus (~1/NA2). The resist performance is generally limited by the resolution-LWR sensitivity (RLS) tradeoff, and it is critical to find new materials to support the future lithography nodes. EUV interference lithography (EUV-IL) is a powerful and efficient technique to test new materials at high resolution. In this work, we evaluate the performance of about 120 EUV resists, including molecular resist, inorganic resist, chemically-amplified resist (CAR), and chemically-amplified resist with a metal sensitizer (metal-CAR). Among all tested resists, we selected and compared six resists with the best performance in dose-to-size, line-width roughness, and maximal exposure latitude for 16 nm and 14 nm half-pitch (HP) lines/spaces (LS). A molecular resist showed the lowest dose to resolve HP 16 nm (29 mJ/cm2) and 14 nm (31 mJ/cm2), still featuring low LWRunbiased (2.7 nm and 3.1 nm, respectively). We observed that there is steady progress in EUV resist development: the LWR of the resists was improved in comparison with the results of the last two years as we noted that the amount of the resists within given dose and LWR threshold increased from 10 % to 33% for HP 14 nm. For contact holes, CAR gave the lowest LCDU of 2.2 nm for HP 20 nm with the lowest doses (21.1 mJ/cm2). While inorganic resists resolved pillars with highest resolution HP down to 18 nm with LCDU of 2.1 nm.
- Published
- 2020
21. Illumination control in lensless imaging for EUV mask inspection and review
- Author
-
Hyun-Su Kim, Atoosa Dejkameh, Uldis Locans, Ricarda Nebling, Yasin Ekinici, Iacopo Mochi, and Dimitrios Kazazis
- Subjects
Microscope ,Computer science ,business.industry ,Extreme ultraviolet lithography ,Mask inspection ,Coherent diffraction imaging ,law.invention ,Metrology ,symbols.namesake ,Fourier transform ,Optics ,law ,Reticle ,symbols ,business ,Coherence (physics) - Abstract
Coherence control and flexible pupil fill play a key role in the imaging of EUV reticles. This is also true for lensless metrology applications based on coherent diffraction imaging. We describe the concept and the key components of a Fourier synthesis illuminator designed to provide the RESCAN microscope with flexible illumination capabilities and to improve its resolution limit. In particular, we discuss the characteristics of the three mirrors of the new illuminator and the requirements for their multilayer coating.
- Published
- 2020
22. Quantitative characterization of absorber and phase defects on EUV reticles using coherent diffraction imaging
- Author
-
Yasin Ekinci, Serhiy Danylyuk, Atoosa Dejkameh, Uldis Locans, Li-Ting Tseng, R. Rajeev, Iacopo Mochi, Larissa Juschkin, Sara Fernandez, Ricarda Nebling, Dimitrios Kazazis, and Publica
- Subjects
Materials science ,business.industry ,Semiconductor device fabrication ,Mechanical Engineering ,Extreme ultraviolet lithography ,Phase (waves) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Coherent diffraction imaging ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Metrology ,010309 optics ,Optics ,Extreme ultraviolet ,0103 physical sciences ,Reticle ,Electrical and Electronic Engineering ,Photomask ,0210 nano-technology ,business - Abstract
Background: Reliable photomask metrology is required to reduce the risk of yield loss in the semiconductor manufacturing process as well as for the research on absorber materials. Actinic pattern inspection (API) of EUV reticles is a challenging problem to tackle with a conventional approach. For this reason, we developed RESCAN, an API platform based on coherent diffraction imaging. Aim: We want to verify the sensitivity of our platform to absorber and phase defects. Approach: We designed and manufactured two EUV mask samples with absorber and phase defects, and we inspected them with RESCAN in die-to-database mode. Results: We reconstructed an image of an array of programmed absorber defects, and we created a defect map of our sample. We inspected two programmed phase defect samples with buried structures of 3.5 and 7.8 nm height. Conclusions: We verified that RESCAN, in its current configuration, can detect absorber defects in random patterns and buried (phase) defects down to 50 × 50 nm2.
- Published
- 2020
23. Ptychographic image reconstruction using total variation regularization
- Author
-
Ricarda Nebling, Iacopo Mochi, Hyunsu Kim, Atoosa Dejkameh, Tao Shen, Manuel Guizar-Sicairos, and Yasin Ekinci
- Subjects
Inorganic Chemistry ,Structural Biology ,General Materials Science ,Physical and Theoretical Chemistry ,Condensed Matter Physics ,Biochemistry - Published
- 2021
24. Progress overview of EUV resists status towards high-NA EUV lithography
- Author
-
Yasin Ekinci, Rolf Custers, Iacopo Mochi, Li-Ting Tseng, Gijsbert Rispens, Lidia van Lent-Protasova, Xiaolong Wang, Rik Hoefnagels, and Michaela Vockenhuber
- Subjects
Materials science ,Post exposure ,Resist ,business.industry ,Extreme ultraviolet lithography ,Optoelectronics ,High resolution ,Surface finish ,business ,Line width - Abstract
We investigated how the processing parameters, including post exposure baking (PEB), and resist film thickness (FT) influence the dose and line width roughness (LWR) of different types of EUV resists, targeted for the high-NA EUV lithography. We compared the dose and LWR of molecular, inorganic and CAR resists at half-pitch (HP) of 16 and 14 nm for different PEB temperatures. The results show that without PEB or at lower PEB temperature, resists require higher doses, as expected. We also observed the different behavior of various resist platforms in response to variation of the film thickness. The results showed that there is a room for the optimization of the processing parameters to improve dose and LWR of molecular, inorganic and CAR resists for line/space printing at high resolution.
- Published
- 2019
25. Resolution enhancement for lensless mask metrology with RESCAN
- Author
-
Uldis Locans, Iacopo Mochi, Ricarda Nebling, Li-Ting Tseng, Dimitrios Kazazis, Atoosa Dejkameh, and Yasin Ekinci
- Subjects
Microscope ,Materials science ,business.industry ,Semiconductor device fabrication ,Extreme ultraviolet lithography ,Resolution (electron density) ,Mask inspection ,law.invention ,Metrology ,Optics ,law ,Wafer ,Photomask ,business - Abstract
The EUV photomask is a key component of the lithography process for semiconductor manufacturing. A critical defect on the mask could be replicated on several wafers, causing a significant production yield reduction. For this reason, actinic patterned mask inspection is an important metrology component for EUV lithography. The RESCAN microscope is a lensless imaging platform dedicated to EUV mask defect inspection and metrology. The resolution of the tool is about 35 nm, which is similar to that of state-of-the-art EUV microscopes. To improve the resolution of RESCAN, we designed an upgraded optical layout for the illumination system and we developed a coherent diffraction imaging-compatible method to synthesize a custom pupil structure. This new scheme will enable a lensless EUV microscope with a resolution down to 20 nm and thereby allow mask review capabilities for future technology nodes with EUV lithography.
- Published
- 2019
26. EUV reticle inspection using phase retrieval algorithms: a performance comparison
- Author
-
Uldis Locans, Ricarda Nebling, Atoosa Dejkameh, Iacopo Mochi, Dimitrios Kazazis, and Yasin Ekinci
- Subjects
Computer science ,Semiconductor device fabrication ,Extreme ultraviolet lithography ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Reticle ,Photomask ,Phase retrieval ,Difference-map algorithm ,Coherent diffraction imaging ,Algorithm ,Metrology - Abstract
RESCAN is an actinic patterned EUV mask metrology tool based on coherent diffraction imaging. An image of the reticle is reconstructed from recorded diffraction patterns using a phase retrieval algorithm. As semiconductor manufacturing has moved to EUV lithography to meet the next technology node, accurate photomask metrology with resolution in the nanometer range is crucial for high production yield. To find the optimal reconstruction strategy to achieve the highest resolution, sensitivity and reconstruction speed in RESCAN, we compared three algorithms. We demonstrate that, for the current setup, the best approach is the difference map algorithm.
- Published
- 2019
27. Progress in EUV resists for contact holes printing using EUV interference lithography
- Author
-
Michaela Vockenhuber, Yasin Ekinci, Xiaolong Wang, Lidia van Lent-Protasova, Rik Hoefnagels, Iacopo Mochi, Li-Ting Tseng, Rolf Custers, and Gijsbert Rispens
- Subjects
Materials science ,Resist ,business.industry ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Optoelectronics ,business ,Contact hole ,Critical dimension ,Interference lithography - Abstract
Using high-resolution extreme ultraviolet interference lithography (EUV-IL), we investigated contact hole/pillars printing performance of several EUV resist platforms for the high-NA EUV lithography. We compared the dose and local critical dimension uniformity (LCDU) of the three chemically-amplified resists (CARs) with the best performance for printing contact holes (CHs) at half pitch (HP) of 24 and 20 nm. One of the CARs showed the lowest LCDU, 2.3 and 2.2 nm with lowest dose 16.4 and 21.1 mJ/cm2 for HP 24 and 20 nm, respectively. With the inorganic resist we obtained 38.8 mJ/cm2 with an LCDU of 1.3 nm for HP 20 nm pillars. We have also studied the effects of the resist thickness and post-exposure baking (PEB) temperature on the dose and LCDU. These results show that there are promising CAR and non-CAR resists for CH printing towards high-NA EUVL.
- Published
- 2019
28. Lensless metrology for semiconductor lithography at EUV
- Author
-
Iacopo Mochi, Sara Fernandez, R. Rajeev, Uldis Locans, Li-Ting Tseng, Atoosa Dejkameh, Ekinci Yasin, Dimitrios Kazazis, and Ricarda Nebling
- Subjects
Materials science ,Semiconductor device fabrication ,business.industry ,Extreme ultraviolet lithography ,Mask inspection ,Coherent diffraction imaging ,Metrology ,law.invention ,Optics ,law ,Photolithography ,Photomask ,business ,Lithography - Abstract
The production of modern semiconductor devices is based on photolithography, a process through which a pattern engraved on a mask is projected on a silicon wafer coated with a photosensitive material. In the past few decades, continuous technological progress in this field allowed the industry to follow Moore’s law by reducing the size of the printed features. This was achieved by progressively increasing the numerical aperture of the projection system and reducing the wavelength. The latest lithography platforms for semiconductor manufacturing employ Extreme Ultra Violet (EUV) light at a wavelength of 13.5 nm. The metrology for the optics and the components of such platforms is not fully mature yet. Specifically, the inspection of the EUV photomask is still an open issue as no commercial solutions are currently available. Here we describe a lensless approach to this problem, based on coherent diffraction imaging at EUV that overcomes the main technological issues linked to the conventional mask inspection approach.
- Published
- 2019
29. Progress in EUV resists towards high-NA EUV lithography
- Author
-
Zuhal Tasdemir, Lidia van Lent-Protasova, Michaela Vockenhuber, Iacopo Mochi, Yasin Ekinci, Rik Hoefnagels, Xiaolong Wang, Rolf Custers, Gijsbert Rispens, and Marieke Meeuwissen
- Subjects
Semiconductor industry ,Semiconductor ,Materials science ,Resist ,business.industry ,Extreme ultraviolet lithography ,High line ,Optoelectronics ,Surface finish ,business ,Exposure latitude ,Interference lithography - Abstract
High-NA extreme ultraviolet lithography (EUVL) is going to deliver the high-volume manufacturing (HVM) patterning for sub-7 nm nodes for the semiconductor industry. One of the critical challenges is to develop suitable EUV resists at high resolution with high sensitivity and low line-edge roughness (LER). The resist performance is generally limited by the resolution-LER-sensitivity (RLS) tradeoff and it is critical to find new resists that have a performance beyond this tradeoff. EUV interference lithography (EUV-IL) is a powerful and efficient technique that can print high resolution: half pitch (HP) down to 6 nm nanostructures. In this work, we evaluate the performance of the EUV resists, including molecular resist, inorganic resist, chemically-amplified (CAR) and metal sensitizer chemically-amplified resist (Metal-CAR). Six resists with the best performance have been compared in dose-to-size, line-edge roughness, exposure latitude for half pitch 16 nm and 14 nm. The molecular resist A showed lowest dose to resolve HP 16 nm (35 mJ/cm2) and 14 nm (41 mJ/cm2) but with high line edge roughness (LER 3.5 nm). CAR resist C provided lowest LER 1.9 and 1.8 nm for HP 16 nm and HP 14 nm, respectively, but with higher doses 74 mJ/cm2 (HP 16 nm) and 69 mJ/cm2 (HP 14 nm). The inorganic resist showed comprehensive good performance, giving low LER of 2.1 nm with 50 mJ/cm2 and 42 mJ/cm2 for HP 16 nm and HP 14 nm, respectively. Using the simplified Z-factor model, we showed that the LER of the resists was improved over the last two years. As the inorganic resist could resolve HP 11 nm with dose 67 mJ/cm2, we conclude it to be the current best candidate to partially resolve the RLS tradeoff problem and could be the potential EUV resist for semiconductor technological node printing.
- Published
- 2019
30. Amplitude and phase defect inspection on EUV reticles using RESCAN
- Author
-
Atoosa Dejkameh, Patrick Helfenstein, Sara Fernandez, R. Rajeev, Dimitrios Kazazis, Ricarda Nebling, Uldis Locans, Yasin Ekinci, Li-Ting Tseng, and Iacopo Mochi
- Subjects
Materials science ,Optics ,business.industry ,Semiconductor device fabrication ,Extreme ultraviolet lithography ,Reticle ,Phase (waves) ,Sensitivity (control systems) ,Photomask ,business ,Coherent diffraction imaging ,Metrology - Abstract
Reliable photomask metrology is required to reduce the risk of yield loss in the semiconductor manufacturing process. Actinic pattern inspection (API) of EUV reticles is a challenging problem to tackle with a conventional approach. For this reason we developed an API platform based on coherent diffraction imaging. Aim: We want to verify the sensitivity of our platform to absorber and phase defects. Approach: We designed and manufactured two EUV mask samples with absorber and phase defects and we inspected them with RESCAN in die-to-database mode. Results: We reconstructed an image of an array of programmed absorber defects and we created a defect map of our sample. We inspected two programmed phase defect samples with buried structures of 3.5 nm and 7.8 nm height. Conclusions: We verified that RESCAN in its current configuration can detect absorber defects in random patterns and buried (phase) defects down to 50 × 50 nm2.
- Published
- 2019
31. Phase defect inspection on EUV masks using RESCAN
- Author
-
R. Rajeev, Patrick Helfenstein, Sara Fernandez, Tseng Li-Teng, Yasin Ekinci, Dimitrios Kazazis, and Iacopo Mochi
- Subjects
Materials science ,Optics ,business.industry ,Extreme ultraviolet ,Extreme ultraviolet lithography ,Phase-contrast imaging ,Reticle ,Phase (waves) ,Mask inspection ,business ,Lithography ,Coherent diffraction imaging - Abstract
As extreme ultraviolet (EUV) lithography is entering the high-volume manufacturing (HVM) phase, the ability to identify printable defects on EUV reticles becomes increasingly important to achieve the required wafer yield. However, no commercially available tool exists today for actinic patterned mask inspection (APMI). RESCAN is an APMI tool based on scanning coherent diffraction imaging (SCDI) under development at the Paul Scherrer Institut. In the last years, using RESCAN, we have demonstrated actinic identification of absorber defects on mask down to 36 nm size, and through-pellicle defect inspection. In this paper, we address a very critical but hitherto not reported feature of an APMI tool, namely the identification and characterization of phase defects on a patterned mask. Phase defects could be due to imperfections on the blank substrate leading to modification of the multilayer topology or due to particles embedded within the multilayer itself. Independent of the origin, the wave exiting the multilayer surface will have domains of phase variations as it propagates in the three-dimensional reticle stack. Mapping the exit wave that leave the EUV reticle both in amplitude and phase would be of paramount importance towards accurately predicting the EUV aerial images. Exploiting the amplitude and phase maps provided by SCDI, we use RESCAN for phase contrast imaging and to characterize programmed phase defects in a hybrid absorber-phase sample in a lens-less scheme, demonstrating the capability of the method and the tool.
- Published
- 2018
32. Experimental evaluation of the impact of EUV pellicles on reticle imaging
- Author
-
Yasin Ekinci, Ivan Pollentier, Iacopo Mochi, Patrick Helfenstein, R. Rajeev, Sara Fernandez, Dimitrios Kazazis, Marina Mariano Juste, Emily Gallagher, and Marina Y. Timmermans
- Subjects
Particle contamination ,Materials science ,Microscope ,business.industry ,Image quality ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,010309 optics ,Optics ,law ,Pellicle membrane ,0103 physical sciences ,Reticle ,0210 nano-technology ,business - Abstract
The purpose of EUV pellicles is to protect the surface of EUV lithography masks from particle contamination. Currently several pellicle prototypes are being developed. It is important to ensure that the optical characteristics of the pellicle membrane do not critically affect the reticle image quality. We present here a study of the impact of a few selected EUV pellicle prototypes on the quality and the contrast of the reticle image obtained with an actinic lensless microscope.
- Published
- 2018
33. Beam drift and partial probe coherence effects in EUV reflective-mode coherent diffractive imaging
- Author
-
Carlos A. F. Vaz, Patrick Helfenstein, Armin Kleibert, R. Rajeev, Iacopo Mochi, and Yasin Ekinci
- Subjects
Physics ,Total internal reflection ,business.industry ,Extreme ultraviolet lithography ,Mask inspection ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Ptychography ,010309 optics ,Optics ,Extreme ultraviolet ,0103 physical sciences ,0210 nano-technology ,Phase retrieval ,business ,Electron-beam lithography ,Coherence (physics) - Abstract
While the industrial implementation of extreme ultraviolet lithography for upcoming technology nodes is becoming ever more realistic, a number of challenges have yet to be overcome. Among them is the need for actinic mask inspection. We report on reflective-mode lensless imaging of a patterned multi-layer mask sample at extreme ultraviolet wavelength that provides a finely structured defect map of the sample under test. Here, we present the imaging results obtained using ptychography in reflection mode at 6° angle of incidence from the surface normal and 13.5 nm wavelength. Moreover, an extended version of the difference map algorithm is employed that substantially enhances the reconstruction quality by taking into account both long and short-term variations of the incident illumination.
- Published
- 2018
34. A comparative study of EUV absorber materials using lensless actinic imaging of EUV photomasks
- Author
-
Sara Fernandez, Shusuke Yoshitake, Yasin Ekinci, Iacopo Mochi, Patrick Helfenstein, Dimitrios Kazazis, and R. Rajeev
- Subjects
Microscope ,Materials science ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Coherent diffraction imaging ,law.invention ,010309 optics ,Optics ,law ,0103 physical sciences ,Photomask ,0210 nano-technology ,business - Abstract
For EUV photomasks, high-k absorber materials represent a potential strategy to effectively mitigate mask 3D effects which are getting more prominent as the scanners’ NA increases. The performance of RESCAN, our actinic lensless imaging microscope is evaluated through three different absorber materials (HSQ, TaBN, and Ni) together with the imaging properties of the materials themselves. Defect maps for each material are analyzed and compared.
- Published
- 2018
35. Through-pellicle inspection of EUV masks
- Author
-
Patrick Helfenstein, Sara Fernandez, Yasin Ekinci, Dimitrios Kazazis, R. Rajeev, and Iacopo Mochi
- Subjects
Microscope ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Mask inspection ,Key features ,Coherent diffraction imaging ,law.invention ,Metrology ,Optics ,law ,Imaging quality ,Reticle ,business - Abstract
RESCAN is a metrology platform, currently under development at Paul Scherrer Institut to provide actinic inspection capability for EUV reticles. It is a lensless microscope and its defect detection protocol is based on coherent diffraction imaging. One of the key features of an actinic pattern inspection tool is the ability to operate on reticles protected by an EUV pellicle. Thanks to the absence of imaging optics in close proximity of the sample, there are no geometrical constraints preventing the inspection of a pellicle-protected reticle in RESCAN. Nevertheless, the defect detection sensitivity depends on the quality of the reconstructed images and it is therefore important to assess if and how these are affected by the presence of an EUV pellicle. We report here the results of an evaluation of the effects of different types of EUV pellicles on the reconstructed images. We observed that high-absorption silicon nitride pellicles significantly reduce the imaging quality whereas in the case of the CNT-based pellicles the imaging performance was not affected. We also observed no damage of the CNT-based pellicle. To our knowledge, this work is the first successful attempt to perform mask inspection through EUV pellicles.
- Published
- 2018
36. High-throughput defect inspection for arbitrarily shaped EUV absorber patterns (Conference Presentation)
- Author
-
R. Rajeev, Patrick Helfenstein, Sara Fernandez, Dimitrios Kazazis, Iacopo Mochi, and Yasin Ekinci
- Subjects
Microscope ,business.industry ,Computer science ,Extreme ultraviolet lithography ,Mask inspection ,Sample (graphics) ,Ptychography ,law.invention ,Scan time ,Optics ,law ,Deconvolution ,business ,Throughput (business) - Abstract
In this paper, we present a method for accurate EUV mask inspection of arbitrarily shaped absorber patterns using lensless imaging methods. With our reflective-mode EUV mask scanning lensless imaging microscope (RESCAN), we have imaged a mask with programmed defects and present here the computed defect map for both die-to-die and die-to-database pattern inspection. The signal-to-noise ratio in both cases was high enough to clearly isolate the defect from the structures (~13 for die-to-die and ~7 for die-to-database inspection). To reach the high-throughput required by industry, we implemented an extended ptychographic algorithm that allows for continuous scanning of the sample and subsequent deconvolution of the distortions in the incident illumination that are due to the fast stage movement. We will show how this algorithm was implemented on a multi-GPU platform for maximum performance that will eventually allow us to reach the final goal of 7 hours scan time for a full mask.
- Published
- 2018
37. Chemically-amplified EUV resists approaching 11 nm half-pitch
- Author
-
Karen Garrido Olvera, Marieke Meeuwissen, Rik Hoefnagels, Zuhal Tasdemir, Yasin Ekinci, Iacopo Mochi, Oktay Yildirim, Rolf Custers, Gijsbert Rispens, and Michaela Vockenhuber
- Subjects
Scanner ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Phase (waves) ,02 engineering and technology ,Surface finish ,Photoresist ,021001 nanoscience & nanotechnology ,01 natural sciences ,Interference lithography ,010309 optics ,Optics ,Resist ,0103 physical sciences ,0210 nano-technology ,business ,Aerial image - Abstract
As EUV lithography moves toward high-volume manufacturing phase, one of the key factors determining the throughput and yield is the resist performance, i.e. resolution, sensitivity, and line-edge roughness. At Paul Scherrer Institute (PSI), we extensively work on EUV resist performance issues. For this purpose, we use the PSI’s EUV interference lithography (IL) tool in which a coherent beam with 13.5 nm wavelength is used to produce a well-defined periodic aerial image with virtually 100% contrast and large depth-of-focus. In this study, we report our recent results on the printability of high-resolution lines/spaces pattern down to 11 nm half-pitch (HP) with a chemically amplified resist (CAR). Although we demonstrate well-resolved 11 nm HP patterning, further improvement of the CAR’s performance in terms of pattern collapse and line-edge roughness is needed. Moreover, these results are achieved with EUV-IL tool which has a fundamentally different aerial image formation than the NXE scanner. Although EUV-IL is a powerful tool to evaluate the resist’s ultimate patterning capabilities for early optimization, what is ultimately important is the performance under manufacturing conditions, i.e. at the scanner. Therefore, we address this issue by evaluating the resist performance as a function of the contrast and resolution of the aerial image in a controlled manner, which is possible with the EUV-IL tool. In addition, we compare the performance of the state-of-the-art EUV resists using EUV-IL tool and NXE scanner and attempt to match the data obtained from EUV-IL with the performance of the NXE scanners.
- Published
- 2018
- Full Text
- View/download PDF
38. Coherent diffractive imaging methods for semiconductor manufacturing
- Author
-
Iacopo Mochi, Yasin Ekinci, Sara Fernandez, R. Rajeev, and Patrick Helfenstein
- Subjects
010302 applied physics ,Materials science ,business.industry ,Semiconductor device fabrication ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Mask inspection ,01 natural sciences ,Ptychography ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,010309 optics ,Optics ,0103 physical sciences ,business ,Instrumentation - Abstract
The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.
- Published
- 2017
- Full Text
- View/download PDF
39. Lithographic performance of ZEP520A and mr-PosEBR resists exposed by electron beam and extreme ultraviolet lithography
- Author
-
Helmut Schift, Roberto Fallica, Robert Kirchner, Yasin Ekinci, Dimitrios Kazazis, Anja Voigt, and Iacopo Mochi
- Subjects
Materials science ,Fabrication ,Silicon ,Extreme ultraviolet lithography ,chemistry.chemical_element ,FOS: Physical sciences ,02 engineering and technology ,Substrate (electronics) ,Applied Physics (physics.app-ph) ,01 natural sciences ,0103 physical sciences ,Materials Chemistry ,Electrical and Electronic Engineering ,Instrumentation ,Lithography ,010302 applied physics ,Condensed Matter - Materials Science ,business.industry ,Process Chemistry and Technology ,Materials Science (cond-mat.mtrl-sci) ,Physics - Applied Physics ,021001 nanoscience & nanotechnology ,3. Good health ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Resist ,chemistry ,Extreme ultraviolet ,Optoelectronics ,0210 nano-technology ,business ,Electron-beam lithography - Abstract
Pattern transfer by deep anisotropic etch is a well-established technique for fabrication of nanoscale devices and structures. For this technique to be effective, the resist material plays a key role and must have high resolution, reasonable sensitivity and high etch selectivity against the conventional silicon substrate or underlayer film. In this work, the lithographic performance of two high etch resistance materials was evaluated: ZEP520A (Nippon Zeon Co.) and mr-PosEBR (micro resist technology GmbH). Both materials are positive tone, polymer-based and non-chemically amplified resists. Two exposure techniques were used: electron beam lithography (EBL) and extreme ultraviolet (EUV) lithography. These resists were originally designed for EBL patterning, where high quality patterning at sub-100 nm resolution was previously demonstrated. In the scope of this work, we also aim to validate their extendibility to EUV for high resolution and large area patterning. To this purpose, the same EBL process conditions were employed at EUV. The figures of merit, i.e. dose to clear, dose to size, and resolution, were extracted and these results are discussed systematically. It was found that both materials are very fast at EUV (dose to clear lower than 12 mJ/cm2) and are capable of resolving dense lines/space arrays with a resolution of 25 nm half-pitch. The quality of patterns was also very good and the sidewall roughness was below 6 nm. Interestingly, the general-purpose process used for EBL can be extended straightforwardly to EUV lithography with comparable high quality and yield. Our findings open new possibilities for lithographers who wish to devise novel fabrication schemes exploiting EUV for fabrication of nanostructures by deep etch pattern transfer., 20 pages, 4 figures, 3 tables
- Published
- 2017
40. Actinic inspection of EUV reticles with arbitrary pattern design
- Author
-
Shusuke Yoshitake, Patrick Helfenstein, Sara Fernandez, Dimitrios Kazazis, R. Rajeev, Iacopo Mochi, and Yasin Ekinci
- Subjects
010302 applied physics ,Materials science ,Microscope ,business.industry ,Extreme ultraviolet lithography ,Resolution (electron density) ,Mask inspection ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Coherent diffraction imaging ,law.invention ,Signal-to-noise ratio ,Optics ,law ,0103 physical sciences ,Reticle ,Node (circuits) ,0210 nano-technology ,business - Abstract
The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.
- Published
- 2017
41. Towards a stand-alone high-throughput EUV actinic photomask inspection tool: RESCAN
- Author
-
Patrick Helfenstein, Rajeev Rajendran, Aldo Mozzanica, Istvan Mohacsi, Bernd Schmitt, Shushuke Yoshitake, Iacopo Mochi, S. Redford, and Yasin Ekinci
- Subjects
Computer science ,business.industry ,Extreme ultraviolet lithography ,Mask inspection ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Coherent diffraction imaging ,Metrology ,010309 optics ,Optics ,Extreme ultraviolet ,0103 physical sciences ,Microscopy ,Reticle ,Optoelectronics ,Photomask ,0210 nano-technology ,business ,Lithography ,Pixel detector - Abstract
With extreme ultraviolet (EUV) lithography getting ready to enter high volume manufacturing, there is an imminent need to address EUV mask metrology infrastructure. Actinic defect inspection of patterned EUV photomasks has been identified as an essential step for mask qualification, but there is no commercial tool available right now. We address this gap with the RESCAN tool, a defect inspection platform being built at Paul Scherrer Institut (PSI), co-developed in collaboration with Nuflare Inc, Japan. RESCAN uses Scanning Scattering Contrast Microscopy (SSCM) and Scanning Coherent Diffraction Imaging (SCDI) for fast defect detection and fine defect localization. The development of a stand-alone tool based on these techniques relies on the availability of (1) a bright coherent EUV source with a small footprint and (2) a high frame-rate pixel detector with extended dynamic range and high quantum efficiency for EUV. We present two in-house projects at PSI addressing the development of these components: COSAMI and JUNGFRAU. COSAMI (COmpact Source for Actinic Mask Inspection), is a high-brightness EUV source optimized for EUV photons with a relatively small footprint. JUNGFRAU (adJUstiNg Gain detector FoR the Aramis User station) is a silicon-based hybrid pixel detector, developed in house at PSI and prototyped for EUV. With a high frame rate and dynamic range at 13.5 nm, this sensor solution is an ideal candidate for the RESCAN platform. We believe that these ongoing source and sensor programs will pave the way towards a comprehensive solution for actinic patterned mask inspection bridging the gap of actinic defect detection and identification on EUV reticles.
- Published
- 2017
42. A two-step method for fast and reliable EUV mask metrology
- Author
-
Shusuke Yoshitake, Iacopo Mochi, Rajeev Rajendran, Yasin Ekinci, and Patrick Helfenstein
- Subjects
Diffraction ,business.industry ,Computer science ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Dark field microscopy ,Coherent diffraction imaging ,Ptychography ,law.invention ,Metrology ,010309 optics ,Lens (optics) ,Optics ,law ,Extreme ultraviolet ,0103 physical sciences ,Microscopy ,0210 nano-technology ,business ,Lithography ,Swiss Light Source ,Aerial image ,Image restoration - Abstract
One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with – in principle – diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.
- Published
- 2017
43. RESCAN: an actinic lensless microscope for defect inspection of EUV reticles
- Author
-
Patrick Helfenstein, Rajeev Rajendran, Istvan Mohacsi, Yasin Ekinci, Shusuke Yoshitake, and Iacopo Mochi
- Subjects
010302 applied physics ,Microscope ,Aperture ,business.industry ,Computer science ,Extreme ultraviolet lithography ,Mask inspection ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Ptychography ,law.invention ,Numerical aperture ,Lens (optics) ,Optics ,law ,0103 physical sciences ,Reticle ,Computer vision ,Artificial intelligence ,0210 nano-technology ,business ,Aerial image - Abstract
Actinic mask defect inspection is an essential process step for the implementation of EUV Lithography in high-volume manufacturing. The main challenges for any mask defect inspection platform are resolution, sensitivity, and throughput. The reflective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic patterned mask inspection capabilities for defects and patterns with high resolution and high throughput, for node 7 and beyond. Namely, the first goal of the RESCAN project is to develop a tool capable of inspecting an EUV reticle in about 7 hours and detect mask defects down to a size of 10 nm. The lensless imaging concept allows to overcome the resolution limitations due to the numerical aperture (NA) and lens aberrations of conventional actinic mask imaging systems. With the increasing availability of computational power and the refinement of iterative phase reconstruction algorithms, lensless imaging became a powerful tool to synthesize the complex amplitude of the actinic aerial image providing us also with extremely valuable information about phase and mask 3D effects. Here, we present a brief description of the current prototype of the RESCAN platform and illustrate a few experimental examples of programmed defect detection.
- Published
- 2017
44. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography
- Author
-
Karen Garrido Olvera, Iacopo Mochi, Rik Hoefnagels, Oktay Yildirim, Rolf Custers, Gijsbert Rispens, Zuhal Tasdemir, Michaela Vockenhuber, Marieke Meeuwissen, Yasin Ekinci, and Roberto Fallica
- Subjects
010302 applied physics ,Depth of focus ,Scanner ,Computer science ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Interference lithography ,Metrology ,Optics ,Resist ,0103 physical sciences ,0210 nano-technology ,business ,Lithography ,Aerial image - Abstract
Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a better understanding of resist fundamentals by studying the effects of the aerial image on resist performance by changing the aerial image contrast in a controlled manner using EUV-IL.
- Published
- 2017
- Full Text
- View/download PDF
45. Comparative study of extreme ultraviolet absorber materials using lensless actinic imaging
- Author
-
Patrick Helfenstein, Rajeev Rajendran, Sara Fernandez, Yasin Ekinci, Shusuke Yoshitake, Iacopo Mochi, and Dimitrios Kazazis
- Subjects
Materials science ,business.industry ,Mechanical Engineering ,Extreme ultraviolet lithography ,Mask inspection ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Coherent diffraction imaging ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Numerical aperture ,010309 optics ,chemistry.chemical_compound ,Optics ,chemistry ,Extreme ultraviolet ,0103 physical sciences ,Electrical and Electronic Engineering ,Photomask ,0210 nano-technology ,business ,Hydrogen silsesquioxane ,Lithography - Abstract
Background: One of the challenges for extreme ultraviolet (EUV) lithography is the mitigation of mask three-dimensional effects arising from the oblique incident angle and the mask topography. As the scanners’ numerical aperture and the pattern aspect ratio increase, these effects become more prominent. A potential solution to reduce them consists in replacing the current TaBN absorber for a higher-k material. Aim: We demonstrate the potential of a mask inspection platform to evaluate the impact of different absorber materials on actinic defect inspection. Approach: We evaluate the performance of a reflective-mode EUV mask scanning microscope (RESCAN), our actinic lensless inspection tool, with three different absorber materials (hydrogen silsesquioxane, TaBN, and Ni). We study the effect of these materials on the image formation and compare the defect maps. Results: The Ni absorber mask exhibits a better contrast compared to the TaBN one, even though the thickness of the layers differs only by 10 nm. Programmed defects are localized and detected with a high signal-to-noise ratio (SNR). Conclusions: The gain in contrast for the Ni absorber being significant, the SNR is higher for a smaller defect in a TaBN absorber photomask. RESCAN allows the evaluation of the performance of absorber materials in defectivity and image formation on small samples.
- Published
- 2019
46. Experimental evaluation of the impact of carbon nanotube EUV pellicles on reticle imaging
- Author
-
Marina Mariano, Patrick Helfenstein, Ivan Pollentier, Yasin Ekinci, Dimitrios Kazazis, Sara Fernandez, Rajeev Rajendran, Iacopo Mochi, Emily Gallagher, and Marina Y. Timmermans
- Subjects
Materials science ,business.industry ,Image quality ,Mechanical Engineering ,Extreme ultraviolet lithography ,Mask inspection ,02 engineering and technology ,Carbon nanotube ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Coherent diffraction imaging ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,010309 optics ,Optics ,law ,Extreme ultraviolet ,0103 physical sciences ,Reticle ,Electrical and Electronic Engineering ,Photomask ,0210 nano-technology ,business - Abstract
Background: The purpose of EUV pellicles is to protect the surface of EUV lithography masks from particle contamination. It is important to ensure that the optical characteristics of the pellicle membrane do not critically affect the reticle image quality. Aim: We want to verify the possibility to integrate pellicle inspection and characterization capabilities in reflective-mode EUV mask scanning microscope (RESCAN), our actinic mask inspection platform based on coherent diffraction imaging. Approach: We studied the impact of a few selected EUV pellicle prototypes on the quality and the contrast of the reticle image obtained with RESCAN. Results: We measured the scattering distribution of the pellicles, and we correlated it with the mask image contrast and fidelity. We also detected the presence of a 6.5-μm-diameter fiber on the pellicle surface. Conclusions: We demonstrated that RESCAN is suitable for through-pellicle actinic mask inspection and can be also used to characterize and monitor the pellicle quality.
- Published
- 2019
47. Studying resist performance for contact holes printing using EUV interference lithography
- Author
-
Xiaolong Wang, Michaela Vockenhuber, Yasin Ekinci, Iacopo Mochi, Dimitrios Kazazis, Li-Ting Tseng, and Zuhal Tasdemir
- Subjects
Materials science ,Extreme ultraviolet lithography ,02 engineering and technology ,Grating ,Interference (wave propagation) ,01 natural sciences ,Interference lithography ,010309 optics ,Optics ,Interference (communication) ,0103 physical sciences ,Electrical and Electronic Engineering ,Lithography ,Aerial image ,Optical path length ,business.industry ,Mechanical Engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Coherence length ,Electronic, Optical and Magnetic Materials ,Resist ,Photomask ,0210 nano-technology ,business - Abstract
Extreme ultraviolet interference lithography (EUV-IL) is relatively simple and inexpensive technique that can pattern high resolution line/space and has been successfully used for the resist performance testing. While the aerial image in EUV-IL formed by two beams is straightforward to understand and has contrast of 1, the aerial image formed by four beams providing contact holes (CHs) is rather complicated. The phases of the interfering beams as well as by the polarization play big roles in the image of the interference pattern and its contrast. To understand thoroughly the formation of CH, we investigate theoretically polarization effect on the aerial image generated with two and four-beam interference. We show the coherent four-beam interference provides the highest contrast (1) with zero initial phase. But the interference pattern strongly depends on the phase difference and switch from one to another when the phase difference between the two pairs of gratings is π/2. Consequently, the contrast also decreases and interference pattern could end with random form when the relative phase of the beams cannot be fully controlled. We propose an incoherent four-beam interference model by intentionally designing the grating with a slightly different pitch to create an optical path difference that is longer than the coherence length of the EUV light (13.5 nm). We also discuss the polarization-induced contrast loss. We verify our analytical model by printing both positive tone chemically amplified resist (CAR) and a negative tone inorganic resist.
- Published
- 2019
48. Progress overview of EUV resists status towards high-NA EUV lithography.
- Author
-
Xiaolong Wang, Li-Ting Tseng, Iacopo Mochi, Vockenhuber, Michaela, van Lent-Protasova, Lidia, Custers, Rolf, Rispens, Gijsbert, Hoefnagels, Rik, and Ekinci, Yasin
- Published
- 2019
- Full Text
- View/download PDF
49. In situ fine tuning of bendable soft x-ray mirrors using a lateral shearing interferometer
- Author
-
Edward E. Domning, Valeriy V. Yashchuk, Brian V. Smith, Senajith Rekawa, Gregory Y. Morrison, Wayne R. McKinney, Howard A. Padmore, Daniel J. Merthe, Iacopo Mochi, James Macdougall, Richard Celestre, Erik H. Anderson, and Kenneth A. Goldberg
- Subjects
Diffraction ,Wavefront ,Physics ,Nuclear and High Energy Physics ,business.industry ,Astrophysics::High Energy Astrophysical Phenomena ,Astrophysics::Instrumentation and Methods for Astrophysics ,Physics::Optics ,Metrology ,Interferometry ,Optics ,Astronomical interferometer ,Physics::Accelerator Physics ,Shearing interferometer ,business ,Focus (optics) ,Instrumentation ,Beam (structure) - Abstract
Broadly applicable, in situ at-wavelength metrology methods for x-ray optics are currently under development at the Advanced Light Source. We demonstrate the use of quantitative wavefront feedback from a lateral shearing interferometer for the suppression of aberrations. With the high sensitivity provided by the interferometer we were able to optimally tune the bending couples of a single elliptical mirror (NA=2.7 mrad) in order to focus a beam of soft x-rays (1.24 keV) to a nearly diffraction-limited beam waist size of 156 ( ± 10 ) nm .
- Published
- 2013
50. Scanning coherent scattering methods for actinic EUV mask inspection
- Author
-
Shusuke Yoshitake, Patrick Helfenstein, Istvan Mohacsi, R. Rajeev, Jens Gobrecht, Yasin Ekinci, and Iacopo Mochi
- Subjects
010302 applied physics ,Diffraction ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Resolution (electron density) ,Mask inspection ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Coherent diffraction imaging ,Numerical aperture ,Optics ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Aerial image ,Swiss Light Source - Abstract
Actinic mask inspection for EUV lithography with targeted specifications of resolution, sensitivity, and throughput remains a big hurdle for the successful insertion of EUVL into high volume manufacturing and effective solutions are needed to address this. We present a method for actinic mask inspection based on scanning coherent scattering microscopy. In this method, the mask is scanned with an EUV beam of relatively small spot size and the scattered light is recorded with a pixel detector. Customized algorithms reconstruct the aerial image by iteratively solving the phaseproblem using over-determined diffraction data gathered by scanning across the specimen with a finite illumination. This approach provides both phase and amplitude of actinic aerial images of the mask with high resolution without the need to use high NA (numerical aperture) lenses. Futher, we describe a reflective mode EUV mask scanning lensless imaging tool (RESCAN), which was installed at the XIL-II beamline and later at the SIM beamline of the Swiss Light Source and show reconstructed aerial images down to 10 nm (on-wafer) resolution. As a complementary method, the a-priori knowledge of the sample is employed to identify potential defect sites by analyzing the diffraction patterns. In this method, the recorded diffraction patterns are compared with the die or database data (i.e. previously measured or calculated diffraction data from the defect-free mask layout respectively) and their difference is interpreted as the defect signal. Dynamic software filtering helps to suppress the strong diffraction from defect-free structures and allows registration of faint defects with high sensitivity. Here, we discuss the basic principles of these Fourier domain techniques and its potential for actinic mask inspection with high signal-to-noise ratio and high throughput.
- Published
- 2016
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.