Search

Your search keyword '"FIELD programmable gate arrays"' showing total 8,657 results

Search Constraints

Start Over You searched for: Descriptor "FIELD programmable gate arrays" Remove constraint Descriptor: "FIELD programmable gate arrays"
8,657 results on '"FIELD programmable gate arrays"'

Search Results

1. Mean-field coherent Ising machines with artificial Zeeman terms.

2. Global to multi‐scale local architecture with hardwired CNN for 1‐ms tomato defect detection.

3. Performance analysis of multi-folded pipelined successive cancellation decoder architecture for polar code.

4. Stability analysis of improved combined-mode power converter and power flow control using FPGA.

5. Design of digital controlled power conditioning unit for piezoelectric energy harvesting scheme.

6. MBIST Implementation and Evaluation in FPGA Based on Low-Complexity March Algorithms.

7. Parallel design of SFO optimization algorithm based on FPGA.

8. Parallel chaos-based image encryption algorithm: high-level synthesis and FPGA implementation.

9. Exploring memory synchronization and performance considerations for FPGA platform using the high-abstracted OpenCL framework: Benchmarks development and analysis.

10. A twofold bio-inspired system for mitigating SEUs in the controllers of digital system deployed on FPGA.

11. Dynamical analysis, circuit realization, and applications of 4D hyperchaotic systems with bursty oscillations and infinite attractor coexistence.

12. Dynamic Analysis, Image Encryption Application and FPGA Implementation of a Discrete Memristor-Coupled Neural Network.

13. Position sensitive detector based non-contact vibration measurement with laser triangulation method utilizing Gabor Transform for noise reduction.

14. A tunable hybrid metasurface design with integrated diffusion and absorption.

15. A novel lightweight CNN-based error-reduced carry prediction approximate full adder design for multimedia applications.

16. Design of efficient binary multiplier architecture using hybrid compressor with FPGA implementation.

17. Optimizing FPGA implementation of high-precision chaotic systems for improved performance.

18. A prototype scintillator real‐time beam monitor for ultra‐high dose rate radiotherapy.

19. Switched coupled inductor inverter-based interline dynamic voltage restorer with discrete wavelet transform-artificial neural network technique.

20. Radar-Jamming Decision-Making Based on Improved Q-Learning and FPGA Hardware Implementation.

21. Custom Network Quantization Method for Lightweight CNN Acceleration on FPGAs.

22. Absolute distance meter without dead zone based on free-running dual femtosecond lasers.

23. Using FGPA based neural network tag number recognition.

24. Requirement analysis for hardware and software design on FPGA-based power channel system of SMR NPP.

25. Fault injection test on mitigated benchmark circuits using FPGA.

26. FPGA implementation of improved 32-bit wallace multiplier.

27. Implementation of Xilinx system generator based image processing algorithms through FPGA.

28. A Deep Learning Network-on-Chip (NoC)-Based Switch-Router to Enhance Information Security in Resource-Constrained Devices.

29. Operation of a Ramsey-CPT microcell atomic clock with driving current-based power modulation of a VCSEL.

30. Marine magnetotelluric and controlled source electromagnetics signal simulative generator.

31. Memristor-induced hyperchaos, multiscroll and extreme multistability in fractional-order HNN: Image encryption and FPGA implementation.

32. Protecting FPGA-Based Cryptohardware Implementations from Fault Attacks Using ADCs.

33. Developing a Portable Fluorescence Imaging Device for Fish Freshness Detection.

34. An Overlay Accelerator of DeepLab CNN for Spacecraft Image Segmentation on FPGA.

35. The analysis of Iris image acquisition and real-time detection system using convolutional neural network.

36. A continuous ultra-narrow impulse synchronizer using a monolithic field programmable gate array for fast deployment and scalability.

37. Implementation of field-programmable Gate array-based clock synchronization in the fiber channel communication system.

38. A novel digital intermediate frequency module for hyperspectral microwave radiometers based on the parallel fast Fourier transform algorithm.

39. A field programmable gate array based Langmuir probe system for measurement of plasma parameters at 500 kHz in a high-power impulse magnetron sputtering plasma.

40. MEMORY-BASED SELF-ORDERING FFT FOR EFFICIENT I/O SCHEDULING.

41. FFT-Based Simultaneous Calculations of Very Long Signal Multi-Resolution Spectra for Ultra-Wideband Digital Radio Frequency Receiver and Other Digital Sensor Applications.

42. Efficient FPGA Implementation of Convolutional Neural Networks and Long Short-Term Memory for Radar Emitter Signal Recognition.

43. Improved Design of an Advanced Ice Giants Net Flux Radiometer.

44. Experimental test performance for a comparative evaluation of a voltage source inverter: Dual voltage source inverter.

45. A fog-based anonymous authentication scheme with location privacy for wireless body area network with FPGA implementation.

46. 基于晶闸管的放电冲击波油气增产装置研制.

47. A High-Efficiency FPGA-Based ORB Feature Matching System.

48. Comparative analysis and FPGA realization of different control synchronization approaches for chaos-based secured communication systems.

49. Ferroelectric FET-based context-switching FPGA enabling dynamic reconfiguration for adaptive deep learning machines.

50. An efficient implementation for linear convolution with reduced latency in FPGA.

Catalog

Books, media, physical & digital resources