86 results on '"C. Vizioz"'
Search Results
2. Si/Si0.7Ge0.3 A2RAM nanowires fabrication and characterization for 1T-DRAM applications
- Author
-
X. Mescot, F. Tcheme Wakam, C. Vizioz, F. Aussenac, J.M. Hartmann, Kyung Hwa Lee, Joris Lacord, M. Bawedin, L. Brevard, Pascal Besson, Zdenek Chalupa, and Virginie Loup
- Subjects
Hardware_MEMORYSTRUCTURES ,Materials science ,Fabrication ,business.industry ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Nanowire ,Optoelectronics ,Heterojunction ,business ,Memory performance ,Dram ,Characterization (materials science) - Abstract
A2RAM devices are fabricated using an adaptation of Si-Nanowire process flow. They include a Si-SiGe heterostructure to improve memory performance. Even the device structure is not exactly what we expect, we succeed to evidence 1T-DRAM programming.
- Published
- 2021
3. Si/Si0.7Ge0.3 A2RAM nanowires fabrication and characterization for 1T-DRAM applications
- Author
-
J. Lacord, F. Tcheme Wakam, Z. Chalupa, J.-M. Hartmann, P. Besson, V. Loup, C. Vizioz, L. Brevard, F. Aussenac, X. Mescot, K. Lee, and M. Bawedin
- Subjects
Materials Chemistry ,Electrical and Electronic Engineering ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials - Published
- 2022
4. Comparative experimental study of junctionless and inversion-mode nanowire transistors for analog applications
- Author
-
Benoit Sklenard, Joris Lacord, D. Lattard, R. Nait Youcef, Xavier Garros, A. Tataridou, Francois Andrieu, Claire Fenouillet-Beranger, F. Balestra, Sylvain Barraud, Perrine Batude, G. Audoit, Mikael Casse, D. Bosch, J. Lugo, Christoforos G. Theodorou, Laurent Brunet, J.-P. Colinge, J. Cluzel, F. Allain, C. Vizioz, J.M. Hartmann, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP ), and Université Grenoble Alpes (UGA)
- Subjects
Materials science ,Channel length modulation ,business.industry ,Doping ,Transistor ,Nanowire ,Silicon on insulator ,law.invention ,[SPI.TRON]Engineering Sciences [physics]/Electronics ,Monocrystalline silicon ,law ,Logic gate ,MOSFET ,Optoelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business - Abstract
We fabricated junction less and inversion-mode monocrystalline nanowire nMOSFETs down to L=18nm gate length and W=20nm width. We demonstrate record performance of nanowire junction less transistors for analog applications: $A_{VT}=1.4mV \cdot \mu$ m matching, $A_{v0}=62dB$ gain (L=200nm), $f_{T}=126GHz$ cut-off frequency and $f_{MAX}=182GHz$ maximum operating frequency (L=35nm). Junction Less transistor performances even exceed those of inversion-mode ones in terms of back-bias capability, low-frequency noise, hotcarrier degradation and fMAX. This is explained by junction less physics: channel length modulation, bulk conduction and high channel-depth sensitivity to back bias.
- Published
- 2020
5. 7-Levels-Stacked Nanosheet GAA Transistors for High Performance Computing
- Author
-
James C. Sturm, C. Vizioz, J.M. Hartmann, A. Jannaud, Bernard Previtali, G. Romano, C. Perrot, A. Magalhaes-Lucas, Ph. Rodriguez, Sylvain Barraud, Francois Andrieu, R. Kies, Virginie Loup, Adeline Grenier, J. Lassarre, Mikael Casse, and Nicolas Bernier
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,01 natural sciences ,law.invention ,Gallium arsenide ,Computer Science::Hardware Architecture ,chemistry.chemical_compound ,Computer Science::Emerging Technologies ,law ,0103 physical sciences ,MOSFET ,0202 electrical engineering, electronic engineering, information engineering ,Metal gate ,Nanosheet ,010302 applied physics ,business.industry ,Transistor ,020207 software engineering ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,chemistry ,Logic gate ,Optoelectronics ,business - Abstract
In this paper, we experimentally demonstrate, for the first time, gate-all-around (GAA) nanosheet transistors with a record number of stacked channels. Seven levels stacked nanosheet (NS) GAA transistors fabricated using a replacement metal gate process, inner spacer and self-aligned contacts show an excellent gate controllability with extremely high current drivability $(3\mathrm{mA}/\mu \mathrm{m}\ \mathrm{at}\ \mathrm{V}_{\mathrm{DD}}=1\mathrm{V})$ and a 3 x improvement in drain current over usual 2 levels stacked- NS GAA transistors.
- Published
- 2020
- Full Text
- View/download PDF
6. A review of the full 500°C low temperature technological modules development for high performance and reliable 3D Sequential Integration
- Author
-
F. Aussenac, P. Acosta-Alba, V. Beugin, V. Mazzocchi, Xavier Garros, Mikael Casse, Sebastien Kerdiles, C. Vizioz, C. Guerin, N. Rambal, F. Ponthenier, J. Micout, Perrine Batude, Maud Vinet, Bernard Previtali, Francois Andrieu, Claire Fenouillet-Beranger, S. Chevalliez, J-M. Pedini, and Laurent Brunet
- Subjects
Materials science ,Silicon ,chemistry ,Annealing (metallurgy) ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Gate stack ,Silicon on insulator ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Epitaxy ,Engineering physics - Abstract
This paper highlights the last technological breakthroughs achieved in the development of low temperature process modules at 500°C for 3D sequential integration. The two remaining process steps (low temperature gate stack and selective silicon raised source drain epitaxy) that were considered as potential showstoppers for this technology have shown decisive progress very recently.
- Published
- 2019
7. Tunability of Parasitic Channel in Gate-All-Around Stacked Nanosheets
- Author
-
Maud Vinet, Virginie Loup, Bernard Previtali, G. Audoit, Vincent Delaye, V. Lapras, Mikael Casse, Joris Lacord, Sylvain Barraud, Thomas Ernst, Nicolas Bernier, N. Rambal, Olivier Rozeau, V. Balan, L. Dourthe, Zdenek Chalupa, A. Jannaud, Sebastien Martinie, C. Vizioz, J.M. Hartmann, and G. Romano
- Subjects
010302 applied physics ,Materials science ,business.industry ,Spice ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,021001 nanoscience & nanotechnology ,Electrostatics ,01 natural sciences ,0103 physical sciences ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,0210 nano-technology ,business ,Metal gate ,Hardware_LOGICDESIGN ,Communication channel - Abstract
For the first time, a comprehensive study going from the integration of 3D stacked nanosheets Gate-All-Around (GAA) MOSFET devices to SPICE modeling is proposed. Devices have been successfully fabricated on SOI substrates using a replacement high- $\kappa$ metal gate process and self-aligned-contacts. Back-biasing is herein efficiently used to highlight a drastic improvement of electrostatics in the upper GAA Si channels. Advanced electrical characterization of these devices enabled us to calibrate a new version of physical compact model (LETI-NSP) in order to assess the performance of ring oscillators for different configurations of GAA FETs integrating up to 8 vertically stacked Si channels.
- Published
- 2018
8. Isotropic dry etching of Si selectively to Si0.7Ge0.3 for CMOS sub-10 nm applications
- Author
-
Sébastien Barnola, Virginie Loup, Sana Rachidi, C. Vizioz, Jean-Michel Hartmann, Alain Campo, and Nicolas Posseme
- Subjects
010302 applied physics ,Fabrication ,Materials science ,Passivation ,Scanning electron microscope ,02 engineering and technology ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Isotropic etching ,Surfaces, Coatings and Films ,X-ray photoelectron spectroscopy ,Chemical engineering ,Etching (microfabrication) ,0103 physical sciences ,Wafer ,Dry etching ,0210 nano-technology - Abstract
The fabrication of Si0.7Ge0.3 sub-10 nm nanochannels in gate-all-around devices requires a highly selective Si isotropic etching process. The etching of Si selectively to Si0.7Ge0.3 with CF4/N2/O2 downstream plasma has been investigated using various morphological and surface characterization techniques. Conditions such as 400 W microwave power, 700 mTorr pressure, 25 °C chuck temperature, and 22% CF4:22% N2:56% O2 feed gas mixture were found to be optimum for selectivity and etch rates. X-ray photoelectron spectroscopy showed that, during the etching process, a highly reactive 8 nm thick SiOxFy layer is formed on Si. Meanwhile, a 2 nm thick passivation layer is observed on SiGe. The latter is a mixture of SiOxFy and GeOxFy species that protected the alloy from etching. The process selectivity was improved by investigating different wet and dry oxidant treatments prior to etching. The dry oxidant treatment gives the best results in terms of selectivity. These results obtained on blanket wafers have been validated on pattern wafers. Scanning electron microscopy demonstrated that SiGe nanowires were fully released with a high selectivity after dry oxidation followed by the etching process.
- Published
- 2020
9. Single-mode waveguides for GRAVITY: I. The cryogenic 4-telescope integrated optics beam combiner
- Author
-
S. Guieu, F. Patru, C. Scibetta, Sylvestre Lacour, Y. Gambérini, A. Delboulbé, Christian Straubmeier, Guy Perrin, A. Chabli, Laurent Jocou, Stefan Gillessen, Cyprien Lanthermann, E. Stadler, A. Nolot, P. Noël, S. Pocas, Thibaut Moulin, Karine Perraut, G. Chamiot-Maitral, P. Labeye, C. Vizioz, R. Templier, J.-B. Le Bouquin, Wolfgang Brandner, F. Haußmann, Frank Eisenhauer, Pierre Kervella, Oliver Pfuhl, Magdalena Lippa, V. Cardin, Yves Magnard, Marcus Haug, Noel Ventura, António Amorim, F. Joulain, J. Guerrero, S. Poulain, V. Lapras, Jean-Philippe Berger, Institut de Planétologie et d'Astrophysique de Grenoble (IPAG), Institut national des sciences de l'Univers (INSU - CNRS)-Centre National d'Études Spatiales [Toulouse] (CNES)-Centre National de la Recherche Scientifique (CNRS)-Observatoire des Sciences de l'Univers de Grenoble (OSUG ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut national des sciences de l'Univers (INSU - CNRS)-Institut national de recherche en sciences et technologies pour l'environnement et l'agriculture (IRSTEA)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut national de recherche en sciences et technologies pour l'environnement et l'agriculture (IRSTEA)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Istituto Nazionale di Geofisica e di Oceanografia Sperimentale (OGS), Max Planck Institute for Extraterrestrial Physics (MPE), Max-Planck-Gesellschaft, Laboratoire d'études spatiales et d'instrumentation en astrophysique (LESIA (UMR_8109)), Institut national des sciences de l'Univers (INSU - CNRS)-Observatoire de Paris, Université Paris sciences et lettres (PSL)-Université Paris sciences et lettres (PSL)-Université Paris Diderot - Paris 7 (UPD7)-Sorbonne Université (SU)-Centre National de la Recherche Scientifique (CNRS), Département d'Optronique (DOPT), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Institut de biologie et chimie des protéines [Lyon] (IBCP), Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Centre National de la Recherche Scientifique (CNRS), INAF - Osservatorio Astrofisico di Arcetri (OAA), Istituto Nazionale di Astrofisica (INAF), Le Verre Fluoré, Institute Patology and Imunology Molecular, Fac Ciencias, Universidade do Porto, Max-Planck-Institut für Astronomie (MPIA), Universität zu Köln, Universidade do Porto = University of Porto, and Universität zu Köln = University of Cologne
- Subjects
Cryostat ,FRONT SENSORS ,Context (language use) ,Astrophysics ,Astronomy & Astrophysics ,01 natural sciences ,7. Clean energy ,Computer Science::Digital Libraries ,VLTI ,law.invention ,010309 optics ,Telescope ,Optics ,law ,K band ,ASTRONOMICAL INTERFEROMETRY ,0103 physical sciences ,010303 astronomy & astrophysics ,Physics ,Very Large Telescope ,Science & Technology ,business.industry ,high angular resolution [techniques] ,Astrophysics::Instrumentation and Methods for Astrophysics ,techniques: high angular resolution ,Astronomy and Astrophysics ,H band ,K-BAND ,CIAO ,Physics::History of Physics ,interferometric [techniques] ,Interferometry ,Space and Planetary Science ,techniques: interferometric ,Physical Sciences ,business ,[PHYS.ASTR]Physics [physics]/Astrophysics [astro-ph] ,Beam (structure) - Abstract
Context. Within the framework of the second-generation instrumentation of the Very Large Telescope Interferometer of the European Southern Observatory we have developed the four-telescope beam combiner in integrated optics. Aims. We optimized the performance of such beam combiners, for the first time in the near-infrared K band, for the GRAVITY instrument dedicated to the study of the close environment of the galactic centre black hole by precision narrow-angle astrometry and interferometric imaging. Methods. We optimized the design of the integrated optics chip and the manufacturing technology as well, to fulfil the very demanding throughput specification. We also designed an integrated optics assembly able to operate at 200 K in the GRAVITY cryostat to reduce thermal emission. Results. We manufactured about 50 beam combiners by silica-on-silicon etching technology. We glued the best combiners to single-mode fluoride fibre arrays that inject the VLTI light into the integrated optics beam combiners. The final integrated optics assemblies have been fully characterized in the laboratory and through on-site calibrations: their global throughput over the K band is higher than 55% and the instrumental contrast reaches more than 95% in polarized light, which is well within the GRAVITY specifications. Conclusions. While integrated optics technology is known to be mature enough to provide efficient and reliable beam combiners for astronomical interferometry in the H band, we managed to successfully extend it to the longest wavelengths of the K band and to manufacture the most complex integrated optics beam combiner in this specific spectral band.
- Published
- 2018
10. Performance and Design Considerations for Gate-All-Around Stacked-NanoWires FETs
- Author
-
C. Vizioz, J.M. Hartmann, Maud Vinet, Sotirios Athanasiou, Jean-Charles Barbe, Francois Andrieu, Sebastien Martinie, Thomas Ernst, Olivier Rozeau, C. Comboroure, V. Lapras, Marie-Anne Jaud, François Triozon, Bernard Previtali, Joris Lacord, M.-P. Samson, Sylvain Barraud, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), and European Project: 688101,H2020,H2020-ICT-2015,SUPERAID7(2016)
- Subjects
010302 applied physics ,Flexibility (engineering) ,Electron mobility ,Materials science ,Transistor ,Nanowire ,02 engineering and technology ,Hardware_PERFORMANCEANDRELIABILITY ,021001 nanoscience & nanotechnology ,01 natural sciences ,7. Clean energy ,Engineering physics ,Capacitance ,law.invention ,Gallium arsenide ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,chemistry ,law ,Logic gate ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0210 nano-technology ,Nanosheet - Abstract
International audience; This paper presents recent progress on Gate-All-Around (GAA) stacked-NanoWire (NW) / NanoSheet (NS) MOSFETs. Key technological challenges will be discussed and recent research results presented. Width-dependent carrier mobility in Si NW/NS and FinFET will be analyzed, and intrinsic performance and design considerations of GAA structures will be discussed and compared to FinFET devices with a focus on electrostatics, parasitic capacitances and different layout options. The results show that more flexibility can be achieved with stacked-NS transistors in order to manage power-performance optimization.
- Published
- 2017
11. High performance low temperature FinFET with DSPER, gate last and Self Aligned Contact for 3D sequential mtegration
- Author
-
J. Micout, M. Casse, J.-P. Colinge, L. Desvoivres, Vincent Delaye, C. Fenouillet-Beranger, S. Barraud, X. Garros, Perrine Batude, J.M. Hartmann, R. Bortolin, V. Mazzocchi, Frédéric Mazen, G. Romano, B. Mathieu, N. Rambal, V. Balan, Zineb Saghi, F. Allain, M.-P. Samson, P. Besombes, C. Comboroure, M. Vinet, Quentin Rafhay, Joris Lacord, Claude Tabone, Alain Toffoli, Gerard Ghibaudo, C. Vizioz, Benoit Sklenard, V. Lapras, L. Lachal, Laurent Brunet, Virginie Loup, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), STMicroelectronics [Crolles] (ST-CROLLES), Laboratoire des technologies de la microélectronique (LTM ), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010), and ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
Materials science ,Fabrication ,business.industry ,020208 electrical & electronic engineering ,Doping ,Recrystallization (metallurgy) ,02 engineering and technology ,Epitaxy ,Logic gate ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,020201 artificial intelligence & image processing ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business - Abstract
session 32: Process and Manufacturing Technology (32.2); International audience; For the first time, a low temperature (LT) FinFET process is demonstrated, using Solid Phase Epitaxy Regrowth (SPER), gate last integration and Self Aligned Contact (SAC). The LT devices exhibit performances close to those of the High Temperature Process Of Reference (HT POR). Several techniques of SPER doping are investigated and an innovative Double SPER (DSPER) process using two amorphization/recrystallization steps, is demonstrated. This DSPER process has the advantage of doping the bulk of the S/D junctions. This work opens the door to the fabrication of high-performance LT FinFETs for 3D sequential integration.
- Published
- 2017
12. Stacked-Wires FETs for Advanced CMOS Scaling
- Author
-
S. Barraud, V. Lapras, M.P. Samson, B. Previtali, J.M. Hartmann, N. Rambal, C. Vizioz, V. Loup, C. Comboroure, F. Triozon, N. Bernier, D. Cooper, M. Vinet, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), European Project: 688101,H2020,H2020-ICT-2015,SUPERAID7(2016), BARRAUD, SYLVAIN, and Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7 nm node - SUPERAID7 - - H20202016-01-01 - 2018-12-31 - 688101 - VALID
- Subjects
[SPI]Engineering Sciences [physics] ,Materials science ,[SPI] Engineering Sciences [physics] ,business.industry ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Hardware_PERFORMANCEANDRELIABILITY ,business ,Cmos scaling ,Hardware_LOGICDESIGN - Abstract
International audience; We present recent progress on vertically stacked-wires MOSFETs with a replacement metal gate process for CMOS scaling beyond FinFET technology. Key technological challenges (such as 3D integration process including inner spacer, mobility, and strain engineering) will be discussed in relation to recent research results.
- Published
- 2017
13. OxRAM integration above FDSOI transistor drain: Integration approach and process impact on electrical characteristics
- Author
-
V. Loup, G. Audoit, S. Reboh, R. Coquand, M. Vinet, M. Barlas, N. Rambal, S Barraud, A. Toffoli, E. Vianello, C. Jahan, V. Beugin, Vincent Delaye, O. Pollet, L. Brevard, C. Vizioz, O. Faynot, T. Dewolf, Nicolas Posseme, S. Chevalliez, N. Allouti, L. Perniola, Sébastien Barnola, B. Bouix, S. Bernasconi, C. Comboroure, Philippe Rodriguez, Yves Morand, C. Tallaron, and L. Grenouillet
- Subjects
Materials science ,law ,Process (engineering) ,Transistor ,Engineering physics ,law.invention - Published
- 2017
14. Hydrogen silsesquioxane tri-dimensional advanced patterning concepts for high density of integration in sub-7 nm nodes
- Author
-
B. Hemard, Sébastien Barnola, L. Gaben, S. Pauliac, Virginie Loup, C. Euvrard, J.-A. Dallery, Y. Exbrayat, M.-P. Samson, Thomas Skotnicki, Christian Arvet, M. Vinet, X. Bossy, C. Vizioz, L. Koscianski, Frederic Boeuf, C. Perrot, R. Dechanoz, J. Bustos, B. Previtali, B. Perrin, V. Balan, Francis Balestra, James C. Sturm, S. Barraud, Stephane Monfray, Pascal Besson, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), and Vistec Electron Beam GmbH
- Subjects
Extreme ultraviolet lithography ,3D lithography ,Nanowire ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,01 natural sciences ,stacked nanowire FETs ,law.invention ,SNWFET ,chemistry.chemical_compound ,Etching (microfabrication) ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,HSQ ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Lithography ,Hydrogen silsesquioxane ,010302 applied physics ,business.industry ,Transistor ,CMOS ,chemistry ,Logic gate ,FinFET ,Optoelectronics ,business - Abstract
Best paper award; session 9: Novel Materials and Technologies; International audience; Recent developments in CMOS devices such as FinFET, FDSOI or stacked nanowire FETs (SNWFETs) have led the industry to consider increasingly complex integration processes while aiming at smaller and smaller devices. This paper proposes new concepts of device integration based on the use of hydrogen silsesquioxane (HSQ). Recently employed to replace polysilicon sacrificial gate in gate last processes, its use could also be extended for building the whole transistor level including device lateral insulation, multi-workfonction layouts, self-aligned contacts and possibly the first layer of metal interconnects. If several EUV masks could be employed for such a use, HSQ patterning once enhanced by multi-electron beam lithography, could allow to perform all these features within a single exposure step without involving any conventional etching or stripping steps.
- Published
- 2017
15. Vertically Stacked-NanoWires MOSFETs in a Replacement Metal Gate Process with Inner Spacer and SiGe Source/Drain
- Author
-
N. Rambal, I. Tinti, Zineb Saghi, V. Balan, O. Faynot, G. Audoit, Nicolas Bernier, F. Allain, Christian Arvet, Claude Tabone, Nicolas Posseme, B. Previtalli, Sylvain Barraud, C. Vizioz, J.M. Hartmann, A. Toffoli, E. Augendre, C. Euvrard, L. Gaben, Yves Morand, Patricia Pimenta-Barros, C. Comboroure, V. Lapras, R. Coquand, V. Maffini-Alvaro, Shay Reboh, David Cooper, Laurent Grenouillet, M.-P. Samson, J. Daranlot, Olivier Rozeau, Maud Vinet, Virginie Loup, Laboratoire de Génie Civil et d'Ingénierie Environnementale (LGCIE), Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Institut National des Sciences Appliquées de Lyon (INSA Lyon), Université de Lyon-Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Funding : the NANO 2017 program, and European Project: 688101,H2020,H2020-ICT-2015,SUPERAID7(2016)
- Subjects
010302 applied physics ,Fabrication ,Materials science ,Silicon ,business.industry ,Transistor ,Nanowire ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Silicon-germanium ,law.invention ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,chemistry ,law ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Precession electron diffraction ,Field-effect transistor ,0210 nano-technology ,business ,Metal gate - Abstract
International audience; We report on vertically stacked horizontal Si NanoWires (NW) p-MOSFETs fabricated with a replacement metal gate (RMG) process. For the first time, stacked-NWs transistors are integrated with inner spacers and SiGe source-drain (S/D) stressors. Recessed and epitaxially re-grown SiGe(B) S/D junctions are shown to be efficient to inject strain into Si p-channels. The Precession Electron Diffraction (PED) technique, with a nm-scale precision, is used to quantify the deformation and provide useful information about strain fields at different stages of the fabrication process. Finally, a significant compressive strain and excellent short-channel characteristics are demonstrated in stacked-NWs p-FETs.
- Published
- 2016
16. Top-down fabrication and electrical characterization of Si and SiGe nanowires for advanced CMOS technologies
- Author
-
Bernard Previtali, R. Coquand, C. Vizioz, J.M. Hartmann, Sylvain Barraud, V. Lapras, and Mikael Casse
- Subjects
Fabrication ,Materials science ,CMOS ,business.industry ,Materials Chemistry ,Nanowire ,Optoelectronics ,Electrical and Electronic Engineering ,Condensed Matter Physics ,business ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) - Published
- 2019
17. Three dimensional on 300mm wafer scale nano imprint lithography processes
- Author
-
S. Landis, T. Enot, V. Reboud, and C. Vizioz
- Subjects
Materials science ,business.industry ,3D printing ,Nanotechnology ,Integrated circuit ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Nanolithography ,Resist ,law ,Wafer ,Dry etching ,Electrical and Electronic Engineering ,Photolithography ,business ,Lithography - Abstract
A three dimensional 300mm wafer scale nano imprinting lithography was developed. Two process flows were investigated to manufacture sub 100nm resolution multilevel silicon stamps. Using 193nm optical lithography and dry etching processes in a standard Integrated Circuit pilot line, we succeeded in manufacturing 5 levels stamps. Depending of the pattern designs and number of required levels onto the stamp, we proposed manufacturing process rules. We also demonstrated that sub 20nm overlay accuracy over 300mm wafer was achievable between each level patterned into the stamp. These 3D stamps were then printed over 300mm wafer coated with 200nm thick thermoplastic resist layer. We demonstrated that large surface 3D printing with sub 100nm resolution was achievable with an equivalent patterning throughput of 4cm^2/s. Both the use of silicon hard and polymer soft 3D stamps were investigated to underline the impact of the stamp's mechanical stiffness onto the residual layer thickness distribution over large surfaces.
- Published
- 2013
18. (Invited) Strain-Enhanced Performance of Si-Nanowire FETs
- Author
-
David Cooper, R. Coquand, C. Comboroure, Sébastien Barnola, G. Reimbold, Vincent Delaye, F. Aussenac, Sylvain Barraud, C. Vizioz, V. Maffini-Alvaro, P. Perreau, Masahiro Koyama, Claude Tabone, L. Tosti, M. Casse, Hironori Iwai, and Gerard Ghibaudo
- Subjects
media_common.quotation_subject ,Thesaurus ,Art ,Humanities ,media_common - Abstract
M.Casse, S. Barraud, R. Coquand, M. Koyama, D. Cooper, C. Vizioz, C. Comboroure, P. Perreau, V. Maffini-Alvaro, C. Tabone, L. Tosti, S. Barnola, V. Delaye, F. Aussenac, Ghibaudo, H.Iwai, G. Reimbold 1 CEA-Leti, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble, France 2 STMicroelectronics, 850 rue Jean Monnet, 38926 Crolles, France 3 IMEP-LAHC, INPG-MINATEC, 3 parvis Louis Neel, 38016 Grenoble, France 4 Frontier Research Center, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama, Japan email: mikael.casse @cea.fr
- Published
- 2013
19. First demonstration of a CMOS over CMOS 3D VLSI CoolCube™ integration on 300mm wafers
- Author
-
L. Pasini, Perrine Batude, V. Benevent, Maud Vinet, Thomas Signamarcheix, R. Kachtouli, Sébastien Barnola, A. Royer, C. Vizioz, F. Fournel, J.M. Hartmann, G. Romano, N. Allouti, Sebastien Kerdiles, Christophe Morales, A. Seignard, C. Agraffeil, Frederic Boeuf, F. Ponthenier, Vincent Delaye, F. Deprat, M. Jourdan, L. Benaissa, L. Baud, C. Euvrard-Colnat, O. Faynot, Bernard Previtali, C. Guedj, P. Besombes, C. Comboroure, Claire Fenouillet-Beranger, L. Hortemel, Laurent Brunet, Claude Tabone, Nicolas Posseme, Alain Toffoli, C.-M. V. Lu, Christian Arvet, and Pascal Besson
- Subjects
010302 applied physics ,Very-large-scale integration ,Engineering ,business.industry ,Electrical engineering ,Silicon on insulator ,02 engineering and technology ,01 natural sciences ,020202 computer hardware & architecture ,PMOS logic ,Front and back ends ,CMOS ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Wafer ,business ,Metal gate ,NMOS logic - Abstract
For the first time, a full 3D CMOS over CMOS CoolCube™ integration is demonstrated with a top level compatible with state of the art high performance FDSOI (Fully-Depleted Silicon On Insulator) process requirements such as High-k/metal gate or raised source and drain. Functional 3D inverters with either PMOS or NMOS on the top level are highlighted. Furthermore, Si layer transfer above a 28nm W Metal 1 level of an industrial short loop and the return in a front end environment is presented, confirming the industrial compatibility of CoolCube™ integration.
- Published
- 2016
20. Stacked Nanowires FETs: Mechanical robustness evaluation for sub-7nm nodes
- Author
-
Francis Balestra, Frederic Boeuf, Arthur Arnaud, L. Gaben, Thomas Skotnicki, C. Vizioz, Stephane Monfray, J.M. Hartmann, Marios Barlas, S. Barraud, Christian Arvet, M.-P. Samson, M. Vinet, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), and STMicroelectronics [Crolles] (ST-CROLLES)
- Subjects
010302 applied physics ,Materials science ,Silicon ,Hybrid silicon laser ,business.industry ,Nanowire ,chemistry.chemical_element ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,01 natural sciences ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Buckling ,Robustness (computer science) ,Mechanical stability ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business - Abstract
session 8: advanced CMOS and New Devices Concepts; International audience; Stacked Nanowires FETs are proposed to replace FinFET and FDSOI for sub-7nm nodes. While most studies demonstrate the performances gain offered by such structures, mechanical stability of the suspended silicon channels needs to be considered. This paper provides a fully mechanical analytical description of nanowire stacks to explain the occurrence of buckling phenomena of silicon channels.
- Published
- 2016
21. (Invited) Evaluation of Stacked Nanowires Transistors for CMOS: Performance and Technology Opportunities
- Author
-
Maud Vinet, Thomas Skotnicki, C. Vizioz, Catherine Euvrard-Colnat, Christian Arvet, Stephane Monfray, L. Gaben, Sebastien Pauliac, Jessy Bustos, F. Boeuf, Jacques-Alexandre Dallery, Marie-Pierre Samson, Joris Lacord, Jean-Michel Hartmann, Francis Balestra, Viorel Balan, Olivier Rozeau, Virginie Loup, Pascal Besson, Marie-Anne Jaud, S. Barraud, Sebastien Martinie, Cédric Perrot, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Vistec Electron Beam GmbH, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), F. Roozeboom, P.J. Timans, E.P. Gusev, V. Narayanan, K. Kakushima, Z. Karim, S. De Gendt, and Ducroquet, Frédérique
- Subjects
Engineering ,Silicon ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Nanowire ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,7. Clean energy ,law.invention ,Footprint (electronics) ,chemistry.chemical_compound ,law ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Lithography ,Hydrogen silsesquioxane ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,business.industry ,020208 electrical & electronic engineering ,Transistor ,Electrical engineering ,chemistry ,CMOS ,Optoelectronics ,business - Abstract
Due to the unavoidable short channel effects associated with planar bulk silicon MOSFET scaling, FinFET and FDSOI have become the most used solutions for the latest generations of CMOS. Gate control over the channel is excellent and performances are enhanced due to the increased effective width in the case of FinFET [1], and due to the forward body biasing solution in the case of FDSOI. However, after several generations of industrially produced FinFET and FDSOI, one crucial question will arise: how far can those technologies be scaled down? Currently, nanowire (NW) transistors have been proven to be excellent candidates for advanced technology nodes. Specifically, electrostatic control is improved over FinFET for better performance and energy savings. Over the last ten years, many developments on nanowire fabrication and nanowire FETs have been proposed [2] [3] [4] [5]. Today, stacking several nanowires at such scale paves the way for a significant increase in the effective width and current density along with substantial savings in device footprint. Stacked nanowires can be implemented with different geometries such as circular or square cross-sections. However, we recently confirmed [5] among other studies [6] that thin and wide devices, also labelled as nanosheets, provide the best performances with respect to the FinFET technology. A further attractive aspect for the use of nanowires in transistors, is that it may be possible to keep some of the flexibility from the planar technologies. In contrast, FinFET technology imposes a discrete partitioning of the active area. In order to increase the output current of a given FinFET based gate, it may be necessary to add another fin to increase the footprint by one fin pitch. Using nanosheets transistors, channel width can be tuned to obtain the required amount of current with limited impact on footprint as discussed in [7]. Stacked nanowire technology is also appealing as one possible solution due to the fact that their integration can be derived from FinFET. Several groups are currently working on their integration trying to minimize the variations from FinFET Gate-Last process [8]. Two options were identified and labelled as NW first and NW last referring to the fabrication of the NW stack. In the former, several successive epitaxies are performed and patterned to form the active zone as a vertical fin, after which silicon or silicon germanium needs to be removed in order to leave suspended silicon or silicon germanium channels. In the NW last integration, selective removal of silicon germanium happens in between the spacers after the removal of the sacrificial gate. In this case, an etch stop layer must be created to prevent the final gate penetrating under the spacers. This etch stop layer must also be low K in order to recreate the missing spacer material in between the NWs. Due to this requirement, an alternative integration has also been investigated. In the NW first option the selective removal of silicon germanium is done before the first spacer patterning and deposition. This method is also coupled with the use of a hydrogen silsesquioxane (HSQ) resist. This flowable oxide can be exposed through the silicon nanowires and the remaining material left after developing can be used as a sacrificial gate during the gate last process. The spacer deposited afterward is able to wrap around the NWs and the oxide temporary gate. As a consequence, this method provides self-aligned gate and spacer. In recent years, we have also come to focus on carrier transport in NW devices. We have produced several NW-FETs in trigate and Ω-gate shapes on SOI in which we measured electron and hole mobility. Face related transport was observed and improved by using proper strain engineering. Strained SOI and silicon germanium raised source and drain were found to have a significant impact on mobility [4]. Currently, this work is also being integrated in order to boost the performances of our stacked NW-FETs. In this paper we propose a review of the NW architecture and of different integration concepts. Several key points for the performance optimization will be pointed out. [1] Natarajan, S. et al. IEDM, 2014 [2] Ernst, T. et al. IEDM 2006 [3] Coquand, R. et al. VLSI 2013 [4] Barraud, S. et al. VLSI 2013 [5] Gaben, L. et al. SSDM 2015. [6] Kim, Seong-Dong et al. S3S 2015 [7] Lacord, J. et al. SSDM 2012. [8] Lauer, I. et al. VLSI 2015
- Published
- 2016
22. 3D Suspended Nanowires Integration for CMOS and Beyond
- Author
-
Emeline Saracco, K. Tachi, V. Maffini-Alvaro, Cecilia Dupre, Jean-Francois Damlencourt, Thomas Ernst, Jean-Michel Hartmann, Nathalie Vulliet, Stéphane Bécu, Alexandre Hubert, Caroline Bonafos, C. Vizioz, E. Bernard, Peter Cherns, and Jean-Philippe Colonna
- Subjects
Materials science ,CMOS ,business.industry ,Hardware_INTEGRATEDCIRCUITS ,Nanowire ,Optoelectronics ,business ,Hardware_LOGICDESIGN - Abstract
Novel 3D stacked Gate-All-Around (GAA) nanowires CMOS architectures were developed recently for their very low leakage potentialities and high current drivability for sub-22nm nodes. In this paper, we will discuss some challenges and innovations associated to such devices integration as well as their potential applications.
- Published
- 2009
23. Fabrication of Suspended Ge-rich Nanowires by Ge Enrichment Technique for Multi-channel Devices
- Author
-
Caroline Bonafos, C. Vizioz, Pierette Rivallin, Yves Morand, Pauline Gautier, Pier Fransesco Fazzini, Dominique Lafond, Jean-Michel Hartmann, Véronique Benevent, Sophie Bernasconi, Jean-Francois Damlencourt, Emeline Saracco, and Thomas Ernst
- Subjects
Materials science ,Fabrication ,Nanowire ,Nanotechnology ,Multi channel - Abstract
This paper presents a new top-down method to fabricate Ge-rich nanowires for multi-channel devices by Ge enrichment technology. 3 dimensional Ge nanowire stacks have been fabricated and characterized by SEM, TEM, EDX. Nanowires obtained are single crystalline with no crystalline defects observed on cross-sectional TEM pictures. The main advantage of this method is that the shape, the size and the concentration of Ge nanowires can be tuned by process parameters. Indeed, depending on these parameters, nanowires with a Ge content up to 100% can be obtained with a very aggressive diameter (as low as 10nm). Moreover, this technology allows the co-integration of Ge and Si nanowires for pMOS and nMOS devices, respectively.
- Published
- 2009
24. Simulation and Characterization of the Strain Induced by an Original 'Embedded Buried Nitride' Technique
- Author
-
Olivier Faynot, Jean-Charles Barbe, L. Brevard, Sophie Baudot, Younes Lamrani, Joël Eymery, Marek Kostrzewa, C. Vizioz, François Rieutord, Julie Widiez, Herve Denis, Vincent Delaye, and Francois Andrieu
- Subjects
Diffraction ,Materials science ,Strain (chemistry) ,business.industry ,Transistor ,Silicon on insulator ,Structural engineering ,Nitride ,Characterization (materials science) ,law.invention ,law ,Optoelectronics ,Thin film ,business - Abstract
An original technique is used to induce a compressive strain in the channel of Fully Depleted (FD)SOI MOSFETs thanks to an Embedded Buried Nitride stressor. Strain measurements are performed by Grazing Incidence X-ray Diffraction (GIXRD) and compared to mechanical simulations. Both results are in agreement and prove that the strain level can achieve -0.6 percent (-780 MPa) in the channel of a transistor, depending on the active region geometry and the nitride properties (intrinsic strain and thickness). This technique is thus very promising in order to boost pMOSFETs on thin films.
- Published
- 2009
25. Hybrid high resolution lithography (e-beam/deep ultraviolet) and etch process for the fabrication of stacked nanowire metal oxide semiconductor field effect transistors
- Author
-
S. Pauliac-Vaujour, T. Ernst, C. Vizioz, Cecilia Dupre, V. Maffini Alvaro, P. Brianceau, Sébastien Barnola, and C. Comboroure
- Subjects
Materials science ,Fabrication ,Silicon ,business.industry ,Nanowire ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,Nanolithography ,chemistry ,Resist ,Etching (microfabrication) ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,business ,Lithography - Abstract
This article highlights some aspects associated with the fabrication of stacked nanowire metal oxide semiconductor field effect transistors (MOSFETs) and more precisely the active area conception. These novel architectures, with gate-all-around or independent gates (ΦFET), are promising solutions to improve electrostatic control with high on-current (Ion) and to reduce power consumption for sub-32-nm transistors. Their fabrication is highly complex regarding lithography and etching. For this study, stacked nanowires were achieved by using hybrid lithography (e-beam/deep ultraviolet) combined with anisotropic and isotropic etchings of a Si∕SiGe multilayer to form suspended silicon nanowires. Therefore, we needed high aspect ratio resist features in order to perform the anisotropic etch of the Si∕SiGe multilayer (thickness: 250nm). For this purpose, we compared two ways to pattern the sub-32-nm silicon stacked nanowires. On one hand, a resist trimming was performed on thick large critical dimension patterns...
- Published
- 2008
26. Dry Etch Challenges in Gate All Around Devices for sub 32 nm Applications
- Author
-
Thierry Chevolleau, Corine Comboroure, S. Pauliac-Vaujeour, Stephan Borel, Jean-Michel Hartmann, Sébastien Barnola, Thomas Ernst, Stéphane Bécu, Bernard Guillaumot, E. Bernard, Christian Arvet, C. Vizioz, Cecilia Dupre, Nathalie Vulliet, V. Maffini-Alvaro, and Pauline Gautier
- Subjects
Materials science ,Silicon ,business.industry ,Silicon on insulator ,chemistry.chemical_element ,Isotropic etching ,Aspect ratio (image) ,chemistry ,Remote plasma ,Optoelectronics ,Wafer ,Dry etching ,Inductively coupled plasma ,business - Abstract
We present a novel approach to pattern aggressive aspect ratio Si/Si1-xGex superlattices on Silicon On Insulator (SOI) wafers. This approach is based on the anisotropic etching of Si/SiGe superlattices with final dimensions down to 30nm, and the isotropic etching of the SiGe selectively to silicon. This isotropic etching was developed in a remote plasma chamber, and in-situ in an Inductive Coupled Plasma (ICP) reactor.
- Published
- 2008
27. Opportunities and challenges of nanowire-based CMOS technologies
- Author
-
M.-P. Samson, M. Casse, O. Rozeau, L. Gaben, M. Vinet, J. Laccord, F. Glowacki, N. Bernier, Sebastien Martinie, B. De Salvo, V. Maffini-Alvaro, F. Allain, P. Pimenta-Barros, S. Barraud, Phuong Nguyen, J.M. Hartmann, Marie-Anne Jaud, C. Vizioz, Claude Tabone, and Christian Arvet
- Subjects
CMOS ,Computer science ,Emphasis (telecommunications) ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Nanowire ,Electronic engineering ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,Nanowire transistors ,Cmos scaling ,Hardware_LOGICDESIGN - Abstract
The Nano Wire (NW) CMOS technology is widely considered as a promising evolutionary solution of current FinFET technology. The main advantage of the nanowire transistors for ultimate CMOS scaling is their optimal electrostatic confinement. In this paper, the major assets of NW field-effect-transistors in leading-edge technology nodes are explained in details. For this purpose, electron (hole) transport properties of Si (SiGe) NWs and the critical contribution of strain are discussed. A particular attention is given to the key technological integration challenges to be addressed, with emphasis on the practical implementation of 3D high-density stacked-NWs architectures.
- Published
- 2015
28. Progresses in 300mm DUV photolithography for the development of advanced silicon photonic devices
- Author
-
Thomas Ferrotti, Charles Baudot, Fabien Gays, C. Vizioz, Denis Mariolle, Sébastien Barnola, Aurélie Souhaité, Sébastien Bérard-Bergery, Sylvie Menezo, Bertrand Szelag, S. Brision, Christophe Kopp, Nacima Allouti, and C. Comboroure
- Subjects
Silicon photonics ,Materials science ,Silicon ,Hybrid silicon laser ,business.industry ,Computational lithography ,chemistry.chemical_element ,Nanotechnology ,law.invention ,chemistry ,CMOS ,Etching (microfabrication) ,law ,Optoelectronics ,Photolithography ,business ,Lithography - Abstract
In this paper we report on advances in DUV dry photolithography both for etching and implantation of silicon photonic devices. We explain why silicon patterning is a critical building block in silicon photonics and what are the challenges related to that process. Furthermore, it also occurs that some silicon photonic devices need implantation lithographic conditions which are also specific to the technology. For that purpose, we developed a dedicated DUV 193nm implantation lithography to address that need.
- Published
- 2015
29. P-type trigate nano wires: Impact of nano wire thickness and Si0.7Ge0.3 source-drain epitaxy
- Author
-
M.-P. Samson, Frederic Boeuf, C. Vizioz, J.M. Hartmann, M. Vinet, F. Allain, L. Gaben, Francis Balestra, S. Barraud, S. Montray, F. Aussenac, and Thomas Skotnicki
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,Silicon ,business.industry ,Nanowire ,chemistry.chemical_element ,Nanotechnology ,Epitaxy ,01 natural sciences ,chemistry ,13. Climate action ,0103 physical sciences ,Nano ,MOSFET ,Optoelectronics ,business - Abstract
The impact of nanowire (NW) height and Si 0.7 Ge 0.3 :B source-drain (S/D) on the performance of p-type trigate NW is presented. We show that an increase in Si NW height from 14.5nm to 24nm generates up to +30% enhancement in hole effective mobility for a 13nm NW width. Effectiveness of Sio.7Geo.3:B S/D is then discussed for a wide range of NW width (13nm Nw 0.7 Ge 0.3 :B S/D: +86% I on improvement is observed for H Nw =11nm against only +58% for H Nw =24nm.
- Published
- 2015
30. Isotropic Etching of Si1-xGex Buried Layers Selectively to Si for the Realization of Advanced Devices
- Author
-
C. Vizioz, T. Billon, Jeremy Bilde, Véronique Caubet, Anissa Cherif, Christian Arvet, G. Rabille, Jean-Michel Hartmann, and Stephan Borel
- Subjects
Materials science ,business.industry ,Etching (microfabrication) ,Optoelectronics ,Dry etching ,business ,Layer (electronics) ,Realization (systems) ,Isotropic etching ,Characterization (materials science) ,Process conditions - Abstract
The selective removal of a SiGe sacrificial layer in a Chemical Dry Etching (CDE) mode is reported. The process parameters have been optimized in order to minimize the consumption of the surrounding Si in advanced 3D structures. The impact of parameters such as the Ge content, the SiGe layer's thickness or the nature of the mask has also been investigated in order to have a global understanding of the etching mechanisms. The optimal process conditions have been tested on devices of which electrical performances have completed the morphological characterization of the etching recipe.
- Published
- 2006
31. Fully Depleted Silicon-on-Insulator nMOSFETs with Tensile Strained High Carbon Content Si1-yCy Channels
- Author
-
Thomas Ernst, Claude Tabone, F. Ducroquet, Simon Deleonibus, C. Vizioz, Jean-Michel Hartmann, and Dominique Lafond
- Subjects
Thermal oxidation ,Electron mobility ,Materials science ,business.industry ,Band gap ,Oxide ,Chemical vapor deposition ,Threshold voltage ,chemistry.chemical_compound ,chemistry ,Gate oxide ,Optoelectronics ,business ,Metal gate - Abstract
High carbon content Si1-yCy layers, with substitutional C concentration as high as 2% and almost no interstitial C atoms (
- Published
- 2006
32. Performance of Omega-Shaped-Gate Silicon Nanowire MOSFET With Diameter Down to 8 nm
- Author
-
Jean-Michel Hartmann, M. Koyama, Thierry Poiroux, Olivier Faynot, Mikael Casse, F. Aussenac, C. Comboroure, R. Coquand, V. Maffini-Alvaro, Sylvain Barraud, and C. Vizioz
- Subjects
Materials science ,Silicon ,business.industry ,Scanning electron microscope ,Nanowire ,chemistry.chemical_element ,Nanotechnology ,Electrostatics ,Omega ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Silicon nitride ,chemistry ,Logic gate ,MOSFET ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
In this letter, the electrostatic and the performance of cylindrical silicon nanowire (NW) MOSFETs with an omega-shaped gate and diameters down to 8 nm are investigated. The impact of silicon nitride (SiN) spacer thickness (7, 10, or 15 nm) on short-channel performance is examined. The tradeoff between superior electrostatic confinement and electrical performance, which will be an essential consideration for the design of future NW devices, is clearly observed. Finally, a comparison with trigate NWs shows an improved electrostatic control for a cylindrical-shaped gate, as theoretically expected.
- Published
- 2012
33. High mobility w-gate nanowire P-FET on cSGOI substrates obtained by Ge enrichment technique
- Author
-
M. Casse, P. Nguyen, Carlos Mazure, D. Rouchon, N. Bernier, F. Glowacki, J.M. Hartmann, Francois Andrieu, Claude Tabone, Daniel Delprat, V. Maffini-Alvaro, Bich-Yen Nguyen, C. Vizioz, F. Allain, D. Lafond, M. Koyama, M.-P. Samson, O. Faynot, M. Vinet, and S. Barraud
- Subjects
Electron mobility ,Materials science ,business.industry ,Transistor ,Nanowire ,Gate length ,Ion current ,Substrate (electronics) ,Electrostatics ,law.invention ,law ,Electronic engineering ,Optoelectronics ,business - Abstract
Ω-gate nanowires (NW) P-FETs on compressively-strained-SiGe-on-insulator (cSGOI) substrate obtained by the Ge enrichment technique are presented. Effectiveness of cSGOI channel is demonstrated for ultra-scaled P-FET NW (L G =15nm and W NW =25nm) with an outstanding I ON current (I ON =860µA/µm at I OFF =140nA/µm) and a good electrostatics immunity (DIBL=110mV/V). For the first time, Si 0.8 Ge 0.2 -channel transistors highlight a mobility improvement for narrow NWs down to short gate length compared to Si one (92% for L G =30nm). The hole mobility improvement provided by the strong uniaxial compressive strain coming from cSiGe and cCESL leads to an ION current improvement of 95% at L G =15nm.
- Published
- 2014
34. First demonstration of strained SiGe nanowires TFETs with ION beyond 700µA/µm
- Author
-
Nicolas Bernier, Pascal Nguyen, Bernard Previtali, Sorin Cristoloveanu, J.M. Hartmann, F. Glowacki, C. Le Royer, Claude Tabone, Sylvain Barraud, A. Villalon, M. Vinet, C. Vizioz, Sebastien Martinie, L. Tosti, F. Allain, Luca Selmi, Alberto Revelant, O. Rozeau, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Centre Hospitalier Régional Universitaire [Lille] (CHRU Lille), Università degli Studi di Udine - University of Udine [Italie], Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010), European Project: 257267,ICT,FP7-ICT-2009-5,STEEPER(2010), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,Band gap ,Nanowire ,Nanotechnology ,02 engineering and technology ,01 natural sciences ,tunnel transistors ,Ion ,MOSFET ,Ge-Si alloys ,0103 physical sciences ,field effect transistors ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Metal gate ,Scaling ,010302 applied physics ,business.industry ,Subthreshold conduction ,021001 nanoscience & nanotechnology ,Electrostatics ,CMOS integrated circuits ,electrostatics ,energy gap ,nanowires ,Optoelectronics ,0210 nano-technology ,business - Abstract
session 8: Beyond CMOS; International audience; We present for the first time high performance Nanowire (NW) Tunnel FETs (TFET) obtained with a CMOS-compatible process flow featuring compressively strained Si 1-x Ge x (x=0, 0.2, 0.25) nanowires, Si 0.7 Ge 0.3 Source and Drain and High-K/Metal gate. Nanowire architecture strongly improves electrostatics, while low bandgap channel (SiGe) provides increased band-to-band tunnel (BTBT) current to tackle low ON current challenges. We analyse the impact of these improvements on TFETs and compare them to MOSFET ones. Nanowire width scaling effects on TFET devices are also investigated, showing a W -3 dependence of ON current (I ON ) per wire. The fabricated devices exhibit higher I ON than any previously reported TFET, with values up to 760μA/μm and average subthreshold slopes (SS) of less than 80mV/dec.
- Published
- 2014
35. Plasma etching and integration challenges using alternative patterning techniques for 11nm node and beyond
- Author
-
L. Desvoivres, Maxime Argoud, Raluca Tiron, Ahmed Gharbi, Jonathan Pradelles, Nicolas Posseme, Sylvain Barraud, Christian Arvet, Sébastien Barnola, C. Vizioz, and P. Pimenta Barros
- Subjects
law ,Computer science ,Extreme ultraviolet lithography ,Multiple patterning ,Electronic engineering ,X-ray lithography ,Nanotechnology ,Photolithography ,Front end of line ,Lithography ,Maskless lithography ,Next-generation lithography ,law.invention - Abstract
For 11nm and below, several alternatives are still potential candidates to meet the patterning requirements. Spacer patterning, Mask Less Lithography (i.e. Electron beam lithography) and Direct Self Assembly are alternatives under development at CEA-LETI. We have demonstrated the integration of these alternative techniques in front end of line and back end of line levels. Common challenges such as minimum achievable CD, CD control through the integration steps, mask budget and LWR were compared for these techniques.
- Published
- 2014
36. Introducing photonic devices for 40Gbits/s wavelength division multiplexing transceivers on 300-mm SOI wafers using CMOS processes
- Author
-
Frederic Boeuf, Andre Myko, Sébastien Barnola, S. Messaoudene, Aurelien Seignard, C. Vizioz, Boris Caire-Remonnay, Léopold Virot, Laurent Vivien, Ian O'Connor, Gilles Grand, Philippe Grosse, Segolene Olivier, Nathalie Vulliet, Aurélie Souhaité, Maurice Rivoire, Sylvie Menezo, Badhise Ben Bakir, Nacima Allouti, Jean-Michel Hartmann, Jean-Marc Fedeli, Charles Baudot, and Delphine Marris-Morini
- Subjects
Silicon photonics ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Silicon on insulator ,Wafer fabrication ,chemistry ,CMOS ,Wavelength-division multiplexing ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Wafer ,Photonics ,business - Abstract
We demonstrate the feasibility of producing advanced silicon photonic devices for future data communication nodes at 40Gbps using CMOS compatible processes in a 300mm wafer fab. Basic building blocks are shown together with various wavelength division multiplexing solutions. All the devices presented are integrated on 220nm SOI or locally grown epitaxial germanium.
- Published
- 2014
37. High Mobility Ω-Gate Nanowire P-FET on cSGOI Substrates Obtained by Ge Enrichment Technique
- Author
-
P Nguyen, S Barraud, M Koyama, M Cassé, F Andrieu, C Tabone, F Glowacki, J.-M Hartmann, V Maffini-Alvaro, D Rouchon, N Bernier, D Lafond, M.-P Samson, F Allain, C Vizioz, D Delprat, B.-Y Nguyen, C Mazuré, O Faynot, and M Vinet
- Published
- 2014
- Full Text
- View/download PDF
38. FDSOI nanowires: An opportunity for hybrid circuit with field effect and single electron transistors
- Author
-
Pierre Perreau, O. Faynot, M. Pierre, Marc Sanquer, R. Coquand, Xavier Jehl, Benoit Voisin, S. Barraud, O. Cueto, Bernard Previtali, B. Roche, M. Vinet, L. Tosti, C. Vizioz, Thierry Poiroux, Veeresh Deshpande, Romain Wacquez, and L. Grenouillet
- Subjects
Materials science ,business.industry ,Transistor ,Nanowire ,Coulomb blockade ,Field effect ,Silicon on insulator ,Nanotechnology ,law.invention ,CMOS ,law ,MOSFET ,Optoelectronics ,business ,Electronic circuit - Abstract
Thanks to a well-controlled CMOS FDSOI technology we have recently been able to demonstrate breakthroughs in the combined use of field effect and Coulomb blockade phenomena. On one hand, we have demonstrated room temperaturehybrid circuits based on single electron transistors and MOSFETs. On the other hand, we have shown the practical performance of electron pumps designed with a single silicided Coulomb island and MOSFETs as tunable barriers for metrologic applications.
- Published
- 2013
39. Self-Aligned Planar Double-Gate MOSFETs by Bonding for 22-nm Node, With Metal Gates, High- $\kappa$ Dielectrics, and Metallic Source/Drain
- Author
-
C. Vizioz, Thierry Poiroux, Jyotshna Bhandari, F. Nemouchi, D. Lafond, Christian Arvet, Simon Deleonibus, Yves Morand, L. Baud, Maurice Rivoire, Bernard Previtali, P. Besson, V. Carron, Julie Widiez, M. Vinet, and C. Licitra
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,Short-channel effect ,Biasing ,Electronic, Optical and Magnetic Materials ,law.invention ,PMOS logic ,law ,MOSFET ,Optoelectronics ,Planar process ,Node (circuits) ,Electrical and Electronic Engineering ,business ,High-κ dielectric - Abstract
In this letter, we report the fabrication and characterization of self-aligned double-gate MOSFETs with gate length down to 6 nm. Based on molecular bonding, the interest of this original process relies on the fact that, for the first time, technological options such as planar process, independently biasable gates, and metallic source and drain are integrated all together to address critical issues for sub-22-nm node, such as variability, short channel effect control, and access resistance decrease. Good electrical performance of pMOS transistors is demonstrated. Short channel effects are very well controlled down to 30 nm. The independent biasing of the two gates allows tuning of the characteristics, depending on the targeted applications.
- Published
- 2009
40. Bonded planar double-metal-gate NMOS transistors down to 10 nm
- Author
-
J.M. Hartmann, F. Allain, Mikael Casse, D. Lafond, B. Guillaumot, Maud Vinet, Bernard Previtali, Julie Widiez, C. Vizioz, B. Biasse, J. Chiaroni, Y. Le Tiec, Simon Deleonibus, Yves Morand, Thierry Poiroux, J. Lolivier, and P. Besson
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,Silicon on insulator ,Biasing ,Electronic, Optical and Magnetic Materials ,law.invention ,Nanoelectronics ,Saturation current ,law ,MOSFET ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Metal gate ,NMOS logic - Abstract
Thanks to bonding, metal-gate etching without any out-of-gate Si consumption, and self-aligned transfer of alignment marks, we have processed the first 10-nm-gate-length DG MOS transistors with metal gates. These devices exhibit excellent short-channel effects control and high-performance characteristics. Their saturation current is very sensitive to the access resistance increase caused by film thinning required to respect the scaling rules. Moreover, their electrical properties can be tuned between LSTP and HP by independently biasing the two gates.
- Published
- 2005
41. Scaling of high-kappa/metal-gate TriGate SOI nanowire transistors down to 10 nm width
- Author
-
Daniela Munteanu, Sébastien Barnola, M. Casse, R. Coquand, Pierre Perreau, Claude Tabone, Thierry Poiroux, Sylvain Barraud, C. Comboroure, M.-P. Samson, E. Ernst, V. Maffini-Alvaro, P. Leroux, C. Vizioz, Frederic Boeuf, Gerard Ghibaudo, Stephane Monfray, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), Laboratoire de Génie Civil et d'Ingénierie Environnementale (LGCIE), Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Institut National des Sciences Appliquées de Lyon (INSA Lyon), Université de Lyon-Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA), Département d'Astrophysique, de physique des Particules, de physique Nucléaire et de l'Instrumentation Associée (DAPNIA), Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Centre National de la Recherche Scientifique (CNRS)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP)-Université Grenoble Alpes (UGA), Laboratoire d'Electronique et des Technologies de l'Information (CEA-LETI), Université Grenoble Alpes (UGA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP)-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,business.industry ,Nanowire ,Silicon on insulator ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Subthreshold slope ,Electronic, Optical and Magnetic Materials ,Planar ,CMOS ,0103 physical sciences ,Materials Chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,business ,Metal gate ,Scaling ,ComputingMilieux_MISCELLANEOUS - Abstract
In this paper, TriGate nanowire (TGNW) FETs with high-κ/metal gate are studied as an alternative way to planar devices for the future CMOS technological nodes (14 nm and beyond). The influence of Si film thickness ( H ) and nanowire width ( W ) on electrical performance of long- and short-channel devices are presented and discussed. We show that the transport properties in our TGNW are fully governed by the additive contributions of the (1 0 0) top surface and (1 1 0) sidewalls. As compared to wide planar devices, the improvement of electrostatic integrity (subthreshold slope and drain-induced-barrier-lowering) of scaled down TGNW FET is clearly demonstrated.
- Published
- 2013
42. Scaling of Trigate nanowire (NW) MOSFETs to sub-7nm width: 300K transition to Single Electron Transistor
- Author
-
Xavier Jehl, Veeresh Deshpande, Thierry Poiroux, Sylvain Barraud, C. Vizioz, Romain Wacquez, P. Perreau, B. Roche, R. Coquand, M. Vinet, Benoit Voisin, L. Tosti, Marc Sanquer, B. Previtali, François Triozon, Olivier Faynot, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire de Transport Electronique Quantique et Supraconductivité (LaTEQS), PHotonique, ELectronique et Ingénierie QuantiqueS (PHELIQS), Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), CEA Tech PACA, CEA Tech en régions (CEA-TECH-Reg), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), CEA Tech en région Sud (DSUD), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), and sanquer, marc
- Subjects
[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Nanowire ,Short-channel effect ,Nanotechnology ,02 engineering and technology ,01 natural sciences ,law.invention ,law ,0103 physical sciences ,MOSFET ,Materials Chemistry ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Metal gate ,ComputingMilieux_MISCELLANEOUS ,High-κ dielectric ,010302 applied physics ,business.industry ,Transistor ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Nanoelectronics ,Optoelectronics ,Field-effect transistor ,0210 nano-technology ,business - Abstract
In this paper we show that on scaling nanowire width from 20 nm down to sub-7 nm regime, together with achieving excellent short channel effect control (DIBL = 12 mV/V for LG = 20 nm), we hit a dramatic transition in transport mechanism from monotonously increasing ID–VG of a FET to oscillating ID–VG of a Single Electron Transistor. This transition in transport mechanism is brought about by process induced channel potential variability. It poses a challenge to further scaling of nanowire MOSFETs. However, we show that it provides an exciting opportunity to cointegrate Single Electron Transistors with high-k/metal gate operating at room temperature (at VD = ±0.9 V) with the state-of-the-art nanowire MOSFETs enabling large scale manufacturing of Beyond Moore devices.
- Published
- 2013
43. Study of carrier transport in strained and unstrained SOI tri-gate and omega-gate silicon nanowire MOSFETs
- Author
-
Sébastien Barnola, M. Koyama, C. Comboroure, Claude Tabone, Pierre Perreau, M. Casse, G. Reimbold, Vincent Delaye, R. Coquand, L. Tosti, V. Maffini-Alvaro, C. Vizioz, Hiroshi Iwai, S. Barraud, F. Aussenac, Gerard Ghibaudo, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), Département d'Astrophysique, de physique des Particules, de physique Nucléaire et de l'Instrumentation Associée (DAPNIA), Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire de Génie Civil et d'Ingénierie Environnementale (LGCIE), Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Institut National des Sciences Appliquées de Lyon (INSA Lyon), Institut National des Sciences Appliquées (INSA)-Université de Lyon-Institut National des Sciences Appliquées (INSA), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Science et Ingénierie des Matériaux et Procédés (SIMaP), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Bruker Biospin SA, Wissembourg, and Université de Lyon-Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)
- Subjects
Electron mobility ,Materials science ,Silicon on insulator ,02 engineering and technology ,Electron ,01 natural sciences ,Omega ,law.invention ,law ,0103 physical sciences ,Materials Chemistry ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Silicon nanowires ,NMOS logic ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,business.industry ,Scattering ,Transistor ,Electrical engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Optoelectronics ,0210 nano-technology ,business - Abstract
We report an experimental study of the carrier transport in [1 1 0]-oriented long channel tri-gate (TG) and omega-gate (ΩG) silicon nanowire (SiNW) transistors cross-section down to 11 nm × 10 nm. Electron and hole mobilities have been measured down to 20 K to evaluate the contribution from the dominant scattering mechanisms. We have studied and discussed the influence of channel shape, channel width and strain effect on carrier mobility. In particular, we have shown that the transport properties are mainly driven by the relative contribution of the different inversion surfaces, without noticeable differences between TG and ΩGNWs. We have also demonstrated the effectiveness of an additional uniaxial tensile strain in NMOS NWs down to 10 nm width.
- Published
- 2013
44. 300 K operating full-CMOS integrated Single Electron Transistor (SET)-FET circuits
- Author
-
O. Faynot, Xavier Jehl, Benoit Voisin, B. Roche, Marc Sanquer, L. Tosti, M. Vinet, R. Coquand, Veeresh Deshpande, C. Vizioz, S. Barraud, B. De Salvo, Romain Wacquez, Pierre Perreau, Bernard Previtali, and Thierry Poiroux
- Subjects
Integrated injection logic ,Materials science ,CMOS ,Pass transistor logic ,business.industry ,MOSFET ,Electrical engineering ,Optoelectronics ,Silicon on insulator ,business ,Metal gate ,Resistor–transistor logic ,Electronic circuit - Abstract
We demonstrate the first Single Electron Transistor (SET) with high-k/metal gate operating at room temperature (at V D =0.9 V) cointegrated with fully depleted SOI (FDSOI) MOSFET (with 20 nm gate length) to realize a hybrid SET-FET circuit. Our resulting circuit exhibits typical SET oscillations upto record milliampere range. We also demonstrate a SET-FET based Negative Differential Resistance (NDR) device with 104 peak-valley-current-ratio and also a literal gate for multivalued logic applications.
- Published
- 2012
45. Demonstration of Single Hole Transistor and Hybrid Circuits for Multivalued Logic and Memory Applications up to 350 K Using CMOS Silicon Nanowires
- Author
-
Maud Vinet, C. Vizioz, Christian Arvet, Xavier Jehl, Romain Lavieville, Marc Sanquer, Sylvain Barraud, Andrea Corna, sanquer, marc, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Département Composants Silicium (DCOS), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Laboratoire de Transport Electronique Quantique et Supraconductivité (LaTEQS), PHotonique, ELectronique et Ingénierie QuantiqueS (PHELIQS), Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Fondamentale (CEA) (DRF (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG)
- Subjects
Materials science ,[SPI] Engineering Sciences [physics] ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Nanowire ,Silicon on insulator ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,7. Clean energy ,01 natural sciences ,law.invention ,[SPI]Engineering Sciences [physics] ,Hardware_GENERAL ,law ,0103 physical sciences ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS ,Electronic circuit ,010302 applied physics ,business.industry ,Transistor ,021001 nanoscience & nanotechnology ,Electronic, Optical and Magnetic Materials ,Nanoelectronics ,CMOS ,Optoelectronics ,Field-effect transistor ,0210 nano-technology ,business ,Hardware_LOGICDESIGN - Abstract
The operation of hybrid circuits consisting of a single hole transistor coupled to a metal oxide semiconductor field effect transistor (MOSFET) is demonstrated at 350 K. The devices are designed at ultimate scaling with complementary metal oxide semiconductor technology on 300 mm diameter silicon on insulator wafers using deep ultra-violet lithography. Coulomb blockade oscillations up to 350 K are measured from silicon nanowire transistors with 20 nm Ω-gate length and diameter under 5 nm. These oscillations are exploited to produce inverter/amplifier, literal gate, negative differential resistance and memory loop circuits for multivalued (MV) logic and MV memory applications, via hybridization with MOSFET in SETMOS configuration. The fabrication and the operation of these SHT-MOSFET hybrid circuits at high temperature should spur single charge transistor integration into circuits for innovative applications in nanoelectronics.
- Published
- 2016
46. Scaling of Trigate nanowire (NW) MOSFETs Down to 5 nm Width: 300 K transition to Single Electron Transistor, challenges and opportunities
- Author
-
R. Coquand, M. Vinet, François Triozon, Pierre Perreau, Veeresh Deshpande, Marc Sanquer, Xavier Jehl, B. Roche, O. Faynot, Romain Wacquez, C. Vizioz, Thierry Poiroux, Sylvain Barraud, Bernard Previtali, Benoit Voisin, and L. Tosti
- Subjects
Materials science ,business.industry ,Transistor ,Nanowire ,Coulomb blockade ,Short-channel effect ,Nanotechnology ,law.invention ,Single electron ,law ,MOSFET ,Optoelectronics ,business ,Metal gate ,Scaling - Abstract
For the first time we evidence the transition from a MOSFET operation to Single Electron Transistor (SET) behavior at 300 K in scaled nanowires (down to 5 nm width). In this paper we show that on scaling nanowire width from 20 nm down to 5 nm regime, together with achieving excellent short channel effect control (DIBL=12 mV/V for L G =20 nm), we hit a dramatic transition in transport mechanism from monotonously increasing to periodically peaked I D -V G 's. This transition is brought about by process induced channel potential variability (due to disorder) in nanowires and poses a challenge to further scaling. However, we show that it provides an exciting opportunity to cointegrate Single Electron Transistors with high-k/metal gate operating at room temperature (at V D =±0.9 V!) with the state-of-the-art nanowire MOSFETs enabling large scale manufacturing of beyond Moore devices.
- Published
- 2012
47. Strain-induced performance enhancement of tri-gate and omega-gate nanowire FETs scaled down to 10nm Width
- Author
-
Daniela Munteanu, Sébastien Barnola, Vincent Delaye, Stephane Monfray, P. Perreau, David K. C. Cooper, L. Tosti, R. Coquand, M. Casse, O. Faynot, C. Vizioz, Claude Tabone, Thierry Poiroux, F. Allain, V. Maffini-Alvaro, C. Comboroure, F. Aussenac, Gilles Reimbold, Frederic Boeuf, Gerard Ghibaudo, S. Barraud, and P. Leroux
- Subjects
Lateral strain ,Electron mobility ,Materials science ,Silicon ,business.industry ,Annealing (metallurgy) ,Transistor ,Nanowire ,Silicon on insulator ,chemistry.chemical_element ,law.invention ,chemistry ,law ,Electronic engineering ,Optoelectronics ,Field-effect transistor ,business - Abstract
A detailed study of performance in uniaxially-strained Si nanowire (NW) transistors fabricated by lateral strain relaxation of biaxial SSOI substrate is presented. 2D strain imaging demonstrates the lateral strain relaxation resulting from nanoscale patterning. For the first time, an improvement of electron mobility in SSOI NW scaled down to 10nm width has been successfully demonstrated (+55% with respect to SOI NW). This improvement is maintained even by using H 2 annealing used for Ω-Gate. On short gate length, a strain-induced I on gain as high as 40% at L G =45nm is achieved for multiple-NWs active pattern.
- Published
- 2012
48. Scaling of high-k/metal-gate Trigate SOI nanowire transistors down to 10nm width
- Author
-
C. Vizioz, M.-P. Samson, P. Leroux, Pierre Perreau, R. Coquand, V. Maffini-Alvaro, Sébastien Barnola, Daniela Munteanu, E. Ernst, M. Casse, Frederic Boeuf, Gerard Ghibaudo, S. Barraud, Stephane Monfray, C. Comboroure, Claude Tabone, and Thierry Poiroux
- Subjects
Electron mobility ,Materials science ,CMOS ,business.industry ,Logic gate ,Nanowire ,Optoelectronics ,Silicon on insulator ,Nanotechnology ,Field-effect transistor ,business ,Metal gate ,High-κ dielectric - Abstract
In this paper, Tri-Gate Nanowire (TGNW) FETs with high-k/metal gate are studied as an alternative way to planar devices for the future CMOS technological nodes (14nm and beyond). The influence of Si film thickness (H) and nanowire width (W) on electrical performances of long- and short-channel devices are presented and discussed. We show that the transport properties in our TGNW are fully governed by the additive contributions of the (100) top surface and (110) sidewalls. As compared to wide planar devices, the improvement of electrostatic integrity (SS and DIBL) of scaled down TGNW FET is clearly demonstrated.
- Published
- 2012
49. 2012 IEEE 25th International Conference on Micro Electro Mechanical Systems (MEMS)
- Author
-
E. Ollier, C. Duprxe9, G. Arndt, J. Arcamone, C. Vizioz, L. Duraffourg, E. Sage, A. Koumela, S. Hentz, G. Cibrario, P. Meininger, K. Benotmane, C. Marcoux, O. Rozeau, G. Billiot, E. Colinet, F. Andrieu, J. Philippe, F. Aussenac, D. Mercier, H. Blanc, T. Ernst and P. Robert
- Published
- 2012
50. Ultra-scaled high-frequency single-crystal Si NEMS resonators and their front-end co-integration with CMOS for high sensitivity applications
- Author
-
Philippe Robert, F. Andrieu, C. Marcoux, C. Vizioz, H. Blanc, F. Aussenac, G. Cibrario, P. Meininger, Gerard Billiot, Cecilia Dupre, Eric Colinet, K. Benotmane, Sebastien Hentz, A Koumela, Gregory Arndt, Thomas Ernst, J. Philippe, Eric Sage, Eric Ollier, Olivier Rozeau, Laurent Duraffourg, Julien Arcamone, and D. Mercier
- Subjects
Nanoelectromechanical systems ,Materials science ,business.industry ,Electrical engineering ,Signal ,Front and back ends ,Resonator ,Direct-conversion receiver ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,business ,Single crystal ,Sensitivity (electronics) - Abstract
This paper reports on ultra-scaled single-crystal Si NEMS resonators (25–40nm thick) operating in the 10–100MHz frequency range. Their first monolithic integration at the front-end level with CMOS enables to extract the signal from background leading to possible implementation of direct/homodyne measurement, for high sensitivity sensing applications and portable systems.
- Published
- 2012
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.