131 results on '"C. Le Royer"'
Search Results
2. Comprehensive TCAD Analysis of Threshold Voltage on GaN-on-Si MOS-Channel Fully Recessed Gate HEMTs
- Author
-
M. -A. Jaud, W. Vandendaele, B. Rrustemi, A. G. Viey, S. Martin, C. Le Royer, L. Vauche, S. Martinie, R. Gwoziecki, R. Modica, F. Iucolano, and T. Poiroux
- Subjects
Electrical and Electronic Engineering ,Electronic, Optical and Magnetic Materials - Published
- 2022
3. Role of free holes in nBTI degradation in GaN-on-Si MOS-channel HEMTs
- Author
-
W. Vandendaele, M.-A. Jaud, A. G. Viey, B. Mohamad, C. Le Royer, L. Vauche, A. Constant, R. Modica, F. Iucolano, and R. Gwoziecki
- Published
- 2022
4. Normally-OFF 650V GaN-on-Si MOSc-HEMT Transistor: Benefits of the Fully Recessed Gate Architecture
- Author
-
C. Le Royer, B. Mohamad, J. Biscarrat, L. Vauche, R. Escoffier, J. Buckley, S. Becu, R. Riat, C. Gillot, M. Charles, S. Ruel, P. Pimenta-Barros, N. Posseme, P. Besson, F. Boudaa, C. Vannuffel, W. Vandendaele, A.G. Viey, A. Krakovinsky, M.-A. Jaud, R. Modica, F. Iucolano, R. Le Tiec, S. Levi, M. Orsatelli, R. Gwoziecki, and V. Sousa
- Published
- 2022
5. Accurate statistical extraction of AlGaN/GaN HEMT device parameters using the Y-function
- Author
-
Matthew Charles, J. Cluzel, A. Krakovinsky, Gerard Ghibaudo, R. Kom Kammeugne, Edwige Bano, Jérôme Biscarrat, Laura Vauche, C. Le Royer, Charles Leroux, F. Gaillard, R. Gwoziecki, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP ), Université Grenoble Alpes (UGA), and ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010)
- Subjects
Electron mobility ,Materials science ,02 engineering and technology ,High-electron-mobility transistor ,01 natural sciences ,[SPI]Engineering Sciences [physics] ,Y-functionNormally-off ,0103 physical sciences ,Materials Chemistry ,Hardware_INTEGRATEDCIRCUITS ,Wafer ,DEG ,Electrical and Electronic Engineering ,Device parameters ,010302 applied physics ,[PHYS]Physics [physics] ,business.industry ,Extraction (chemistry) ,High-electron-mobility-transistor (HEMT) ,Function (mathematics) ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,Gallium nitride (GaN) ,Electron mobility2 ,Optoelectronics ,0210 nano-technology ,business ,Statistical electrical characterization ,Communication channel - Abstract
International audience; A new protocol based on Y-function is used for accurate statistical extraction of electrical parameters of High Electron Mobility Transistor (HEMT) devices for GaN technology. This protocol presented here is used for extraction of relevant electrical parameters such as oxide capacitance, threshold voltage, effective mobilities and access resistance. This study has been verified over a large range of channel lengths for two normally-off HEMT GaN wafers having different levels of access resistances.
- Published
- 2021
6. Reliable method for low field temperature dependent mobility extraction at Al2O3/GaN interface
- Author
-
B. Rrustemi, A. G. Viey, M.-A. Jaud, F. Triozon, W. Vandendaele, C. Leroux, J. Cluzel, S. Martin, C. Le Royer, R. Gwoziecki, R. Modica, F. Iucolano, F. Gaillard, T. Poiroux, and G. Ghibaudo
- Published
- 2021
7. Parasitic Capacitance Analysis in Short Channel GaN MIS-HEMTs
- Author
-
R. Kom Kammeugne, C. Leroux, T. Mota Frutuoso, J. Cluzel, L. Vauche, C. Le Royer, R. Gwoziecki, X. Garros, F. Gaillard, M. Charles, E. Bano, and G. Ghibaudo
- Published
- 2021
8. In depth TCAD analysis of threshold voltage on GaN-on-Si MOS-channel fully recessed gate HEMTs
- Author
-
William Vandendaele, A. G. Viey, C. Le Royer, R. Modica, Erwan Morvan, Laura Vauche, Sebastien Martinie, Marie-Anne Jaud, Steve W. Martin, R. Gwoziecki, Thierry Poiroux, Ferdinando Iucolano, Marc Plissonnier, and B. Rrustemi
- Subjects
010302 applied physics ,Materials science ,business.industry ,020208 electrical & electronic engineering ,Gate length ,02 engineering and technology ,Integrated circuit ,01 natural sciences ,Threshold voltage ,law.invention ,law ,Logic gate ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,business ,Conduction band ,Communication channel - Abstract
The fully recessed gate GaN-on-Si MOS-channel HEMTs (MOSc-HEMT) with Back-Barrier (BB) structure gives rise to unexpected threshold voltage (V TH ) behaviors such as V TH increase with decreasing gate length (L G ) (V TH roll-up) and discrepancies between V TH values extracted from I D (V G ) (V TH_IV ) and from C GC (V G ) (V TH_CV ) characteristics. Using TCAD simulations and experimental measurements, we demonstrate that conduction band confinement, especially at gate corners, is responsible for these peculiar V TH behaviors. This band confinement is strengthened by the fully recessed gate configuration coupled with the proximity of a back-barrier.
- Published
- 2021
9. A Novel Insight on Interface Traps Density (Dit) Extraction in GaN-on-Si MOS-c HEMTs
- Author
-
R. Gwoziecki, William Vandendaele, A. Krakovinsky, Steve W. Martin, A. G. Viey, Marie-Anne Jaud, Marc Plissonnier, Laura Vauche, R. Modica, Ferdinando Iucolano, F. Gaillard, Jérôme Biscarrat, and C. Le Royer
- Subjects
Materials science ,Equivalent series resistance ,business.industry ,Extraction (chemistry) ,Doping ,Gate stack ,Temperature measurement ,law.invention ,Capacitor ,High resistivity ,law ,Logic gate ,Optoelectronics ,business - Abstract
This paper aims to investigate the interface traps density (Dit) extraction on MOS gate stacks processed on GaN-on-Si substrates. CGV (Capacitance-Conductance) measurements under different frequencies (f = 1kHz-1MHz) and temperatures (T = 20K-500K) on various Al 2 O 3 /UID-GaN MOS capacitors were carried out. Thorough analysis under dark and UV light compared to TCAD/analytical modeling reveal a strong distributed series resistance under the gate related to the high resistivity of UID-GaN layer. This effect leads to an overestimation of the actual Dit value extracted at high frequencies (> 10kHz). Choosing an adequate doping under the gate (n-type) cancels the series resistance effect and unlocks a reliable extraction through {T/f} dependent CGV measurements.
- Published
- 2020
10. Analysis of MIS-HEMT Device Edge Behavior for GaN Technology Using New Differential Method
- Author
-
Jérôme Biscarrat, J. Cluzel, R. Kom Kammeugne, R. Gwoziecki, Matthew Charles, C. Le Royer, Charles Leroux, Gerard Ghibaudo, Edwige Bano, Laura Vauche, F. Gaillard, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP ), and Université Grenoble Alpes (UGA)
- Subjects
010302 applied physics ,Resistive touchscreen ,Materials science ,business.industry ,Transistor ,Gallium nitride ,High-electron-mobility transistor ,01 natural sciences ,Capacitance ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,Semiconductor ,chemistry ,law ,Logic gate ,0103 physical sciences ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business ,ComputingMilieux_MISCELLANEOUS - Abstract
A new differential method for accurate extraction of electrical parameters of high-electron mobility transistor (HEMT) devices for gallium nitride (GaN) technology is proposed. This method, presented here for the first time, is used to study the mobility degradation with gate length, allowing an analysis of the contribution of the gate edge region to the total metal–insulator semiconductor (MIS)-HEMT device conductance. First, an analytical model is proposed to account for the relative conduction of the edges and the main flat part of the channel HEMTs. Second, the differential method allows the split- CV mobility extraction of each part, allowing a precise analysis of the resistive contribution of each region of the HEMT devices. This study has been performed over a large range of channel lengths for two normally OFF HEMT GaN wafers having different recess depths.
- Published
- 2020
11. New prospects on high on-current and steep subthreshold slope for innovative Tunnel FET architectures
- Author
-
C. Le Royer, C. Diaz Llorente, Jing Wan, Gerard Ghibaudo, Sebastien Martinie, J.-P. Colinge, Maud Vinet, Sorin Cristoloveanu, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), and Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])
- Subjects
010302 applied physics ,Materials science ,Dopant ,business.industry ,Silicon on insulator ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Subthreshold slope ,Electronic, Optical and Magnetic Materials ,Ion ,Anode ,Gate oxide ,0103 physical sciences ,Materials Chemistry ,Optoelectronics ,Body region ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,business ,Quantum tunnelling ,ComputingMilieux_MISCELLANEOUS - Abstract
We propose three innovative SOI Tunnel FET architectures to solve the recurrent issue of low ION and degraded subthreshold slope measured on TFETs. These are evaluated and compared with a standard TFET structure (with lateral tunneling) using the Sentaurus TCAD tool. Extending the source (anode) at the bottom of the body region generates vertical band-to-band tunneling. Moreover, reducing the vertical distance between the extension and the gate oxide (Lrt) yields a very steep slope and higher ION compared to a device with only lateral tunneling, but only for gate lengths longer than 100 nm. Using an ultrahigh boron dopant concentration (1021 cm−3) thin layer at the bottom for extremely small body thickness (TSi
- Published
- 2019
12. Investigation of ambipolar signature in SiGeOI homojunction tunnel FETs
- Author
-
Sebastien Martinie, Claude Tabone, C. Le Royer, Louis Hutin, J. Borrel, M. Vinet, and R. P. Oeflein
- Subjects
010302 applied physics ,Materials science ,business.industry ,Ambipolar diffusion ,Schottky barrier ,Doping ,Transistor ,Electrical engineering ,Biasing ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Signature (logic) ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,0103 physical sciences ,Materials Chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,Homojunction ,0210 nano-technology ,business ,Quantum tunnelling - Abstract
In this paper, we study the ambipolar tunneling signature from the output characteristics of TFETs featuring Si 0.8 Ge 0.2 homojunctions, which we compare to those measured on conventional MOSFETs and Schottky Barrier FETs. The difference with the former is immediate since a single TFET can display a transistor effect under both pull-up (nTFET) and pull-down (pTFET) biasing conditions. This is however a property shared with SBFETs, in which injection occurs via tunneling through a single carrier Schottky Barrier instead of band-to-band tunneling. Without requiring quantitative considerations on the current levels or transfer characteristics, we find that simply performing the same dual I D – V DS electrical tests while voluntarily “swapping” the S/D terminals unequivocally characterizes TFET operation, even compared to asymmetrically doped SBFETs.
- Published
- 2016
13. Impact of Low-Temperature Coolcube™ Process on the Performance of FDSOI Tunnel FETs
- Author
-
C. Diaz-Llorente, Maud Vinet, Sorin Cristoloveanu, Gerard Ghibaudo, Christoforos G. Theodorou, J.-P. Colinge, C. Le Royer, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), and Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])
- Subjects
010302 applied physics ,Materials science ,business.industry ,Process (computing) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Noise (electronics) ,Charge pumping ,Logic gate ,0103 physical sciences ,MOSFET ,Optoelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,business ,Quantum tunnelling - Abstract
session: 3D technology II; International audience; Tunnel FETs fabricated using the low-temperature Cool Cube TM process are compared with devices made with standard high-temperature (HT) technology. Charge pumping (CP) and low-frequency noise (LFN) measurements were performed to evaluate the impact of low-temperature (LT) process on the device performance. LT devices feature a higher density of source/drain junction defects, due to lower thermal budget, causing higher levels of LFN. These defects enhance the trap-assisted tunneling (TAT) current which is further amplified by the more abrupt junctions obtained using LT processing.
- Published
- 2018
14. New insights on SOI Tunnel FETs with low-temperature process flow for CoolCube™ integration
- Author
-
J.-P. Colinge, Gerard Ghibaudo, Perrine Batude, C-M. V. Lu, F. Allain, C. Le Royer, C. Fenouillet-Beranger, M. Vinet, Sorin Cristoloveanu, C. Diaz Llorente, Sebastien Martinie, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), and ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
Materials science ,Fabrication ,Tunnel FET ,Schottky barrier ,Silicon on insulator ,02 engineering and technology ,Epitaxy ,01 natural sciences ,Planar ,TFET ,0103 physical sciences ,Materials Chemistry ,Low temperature ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Quantum tunnelling ,3D integration ,010302 applied physics ,SOI ,business.industry ,SPER ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Tunnelling BTBT ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,Optoelectronics ,0210 nano-technology ,business ,Voltage - Abstract
International audience; This paper reports the fabrication and electrical characterization of planar SOI Tunnel FETs (TFETs) made using a Low-Temperature (LT) process designed for 3D sequential integration. These proof-of-concept TFETs feature junctions obtained by Solid Phase Epitaxy Regrowth (SPER). Their electrical behavior is analyzed and compared to reference samples (regular process using High-Temperature junction formation, HT). Dual ID-VDS measurements verify that the TFET structures present Band-to-Band tunnelling (BTBT) carrier injection and not Schottky Barrier tunnelling. P-mode operating LT TFETs deliver an ON state current similar to that of the HT reference, opening the door towards optimized devices operating with very low threshold voltage VTH and low supply voltage VDD.
- Published
- 2018
15. Converting SOI to sSOI through Amorphization and Crystallization: Material Analysis and Device Demonstration
- Author
-
Pierre Morin, E. Augendre, C. Le Royer, Joel Kanyandekwe, N. Bernier, Y. Morand, B. Lherron, L. Grenouillet, Oliver Faynot, J. M. Hartmann, M. Celik, James Chingwei Li, Sylvain Maitrejean, B. De Salvo, F. Chafik, Nicolas Loubet, Hong He, R. Wacquez, S. Reboh, Aomar Halimaoui, Bruce B. Doris, Qing Liu, S. Pilorget, and A. Bonnevialle
- Subjects
Materials science ,law ,Material analysis ,Analytical chemistry ,Crystallization ,Electronic, Optical and Magnetic Materials ,law.invention - Abstract
Converting SOI to sSOI through Amorphization and Crystallization: Material Analysis and Device Demonstration S. Maitrejean,a,z N. Loubet,b E. Augendre,a P. Morin,b S. Reboh,c N. Bernier,c R. Wacquez,a B. Lherron,b A. Bonnevialle,c,d Q. Liu,b J. M. Hartmann,c,∗ H. He,e A. Halimaoui,d J. Li,e S. Pilorget,b J. Kanyandekwe,b L. Grenouillet,c F. Chafik,b Y. Morand,d C. Le Royer,c O. Faynot,a M. Celik,b B. Doris,e and B. De Salvoa
- Published
- 2015
16. Impact of strain on access resistance in planar and nanowire CMOS devices
- Author
-
Gerard Ghibaudo, Claude Tabone, Emmanuel Josse, Joris Lacord, Franck Arnaud, Remy Berthelon, M. Vinet, Yann-Michel Niquet, C. Le Royer, L. Bourdet, Denis Rideau, Didier Dutartre, O. Rozeau, F. Andneu, Pascal Nguyen, Alain Claverie, M. Casse, S. Barraud, François Triozon, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Centre d'élaboration de matériaux et d'études structurales (CEMES), Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut de Chimie de Toulouse (ICT-FR 2599), Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut de Recherche pour le Développement (IRD)-Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Institut de Chimie du CNRS (INC)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut de Recherche pour le Développement (IRD)-Institut de Chimie du CNRS (INC)-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA), Laboratory of Atomistic Simulation (LSIM ), Modélisation et Exploration des Matériaux (MEM), Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), nano 2017, ANR-13-NANO-0009,NOODLES,Modélisation de nanodispositifs pour des applications à faible consommation(2013), European Project: 662175,H2020,ECSEL-2014-2,WAYTOGO FAST(2015), Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut de Chimie de Toulouse (ICT), Institut de Recherche pour le Développement (IRD)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Université de Toulouse (UT)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université de Toulouse (UT)-Institut de Recherche pour le Développement (IRD)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université de Toulouse (UT)-Centre National de la Recherche Scientifique (CNRS), and Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG)
- Subjects
010302 applied physics ,Materials science ,business.industry ,Spice ,Semiconductor device modeling ,Nanowire ,Electrical engineering ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,PMOS logic ,Silicon-germanium ,Stress (mechanics) ,chemistry.chemical_compound ,CMOS ,chemistry ,Logic gate ,0103 physical sciences ,Optoelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,business - Abstract
session 17: CMOS integration; International audience; We fabricated and in-depth characterized advanced planar and nanowire CMOS devices, strained by the substrate (sSOI or SiGe channel) and by the process (CESL, SiGe source/drain). We have built a novel access resistance (R ACC ) extraction procedure, which enables us to clearly evidence the strong impact of back-bias and strain on R acc (-21% for 4 V V B and -53% for -1GPa stress on pMOS FDSOI). This is in agreement with Non-Equilibrium-Green-Functions (NEGF) simulations. This RAcc(strain) dependence has been introduced into SPICE, leading to +6% increase of the RO frequency under ε n/p =0.8%/-0.5% strain, compared to the state-of-the-art model. It is thus mandatory for predictive benchmarking and optimized IC designs.
- Published
- 2017
17. The mystery of the Z 2 -FET 1T-DRAM memory
- Author
-
Kyung Hwa Lee, Sebastien Martinie, Francisco Gamiz, X. Mescot, H. El Dirani, Ph. Galy, Binjie Cheng, Sorin Cristoloveanu, Carlos Navarro, Joris Lacord, M. Bawedin, Mukta Singh Parihar, C. Le Royer, Asen Asenov, Pascal Fonteneau, Yuan Taur, J.-Ch. Barbe, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Grenoble] (ST-GRENOBLE), STMicroelectronics [Crolles] (ST-CROLLES), University of Granada [Granada], James Watt School of Engineering [Univ Glasgow], University of Glasgow, Department of Electrical Engineering - University of California, University of California [San Diego] (UC San Diego), University of California-University of California, European Project: 687931,H2020,H2020-ICT-2015,REMINDER(2016), Universidad de Granada = University of Granada (UGR), Department of Electrical and Computer Engineering [Univ California San Diego] (ECE - UC San Diego), and University of California (UC)-University of California (UC)
- Subjects
010302 applied physics ,SOI ,Random access memory ,Hardware_MEMORYSTRUCTURES ,carrier lifetime ,Computer science ,band modulation ,Silicon on insulator ,Z2-FET ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Memory performance ,01 natural sciences ,Modulation ,Logic gate ,0103 physical sciences ,Electronic engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,Dram memory - Abstract
session 4: Memory Devices; International audience; We review the operation mechanisms of the Z 2 -FET underlining its attractiveness as a capacitorless DRAM memory. The main parameters that govern the memory performance are discussed based on systematic experiments and simulations.
- Published
- 2017
18. First SOI Tunnel FETs with low-temperature process
- Author
-
C. Diaz Llorente, Sebastien Martinie, Gerard Ghibaudo, M. Vinet, Perrine Batude, C. Le Royer, C. Fenouillet-Beranger, Sorin Cristoloveanu, F. Allain, C-M. V. Lu, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), and ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
Fabrication ,Materials science ,Silicon ,Tunnel FET ,Silicon on insulator ,chemistry.chemical_element ,low temperature ,Epitaxy ,01 natural sciences ,tunneling ,TFET ,3D sequential integration ,0103 physical sciences ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,BTBT ,Quantum tunnelling ,010302 applied physics ,business.industry ,Electrical engineering ,CoolCube ,SPER ,Threshold voltage ,chemistry ,Logic gate ,Optoelectronics ,business ,Voltage - Abstract
session 1: Nanoscale FETs; International audience; We demonstrate for the first time the fabrication and electrical characterization of planar SOI Tunnel FETs (TFETs) with low temperature (LT) processes devoted to 3D sequential integration. The electrical behavior of these TFETs, with junctions obtained by Solid Phase Epitaxy Regrowth (SPER), is analyzed and compared to reference samples (regular process at high temperature, HT). The threshold voltage (VTH) of p-mode operating TFETs shows a 300 mV reduction with similar ON state currents (wrt HT reference), opening path towards optimized devices (very low VTH & supply voltage VDD).
- Published
- 2017
19. First demonstration of 3D SRAM through 3D monolithic integration of InGaAs n-FinFETs on FDSOI Si CMOS with inter-layer contacts
- Author
-
Yannick Baumgartner, Julie Widiez, Lukas Czornomaz, Veeresh Deshpande, Eamon O'Connor, Herwig Hahn, Daniele Caimi, L. Brevard, Jean Fompeyrine, Marilyne Sousa, Maud Vinet, H. Boutry, and C. Le Royer
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Electrical engineering ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,020202 computer hardware & architecture ,chemistry.chemical_compound ,chemistry ,CMOS ,0103 physical sciences ,Silicide ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Static random-access memory ,business ,Metal gate ,Tin ,Layer (electronics) ,Indium gallium arsenide - Abstract
We demonstrate, for the first time, the 3D Monolithic (3DM) integration of In 0.53 GaAs nFETs on FDSOI Si CMOS featuring short-channel Replacement Metal Gate (RMG) InGaAs n-FinFETs on the top layer and Gate-First Si CMOS on the bottom layer with TiN/W inter-layer contacts. State-of-the-art device integration is achieved with the top layer InGaAs utilizing raised source drain (RSD) and the bottom layer CMOS having Si RSD for nFETs, SiGe RSD for pFETs, implants, silicide and TiN/W plug contacts. The top layer InGaAs n-FinFETs are scaled down to L g =25 nm and both the Si nFETs and pFETs in the bottom layer are scaled down to L g ∼15 nm. Finally, utilizing the inter-layer contacts, we demonstrate a densely integrated 3D 6T-SRAM circuit with InGaAs nFETs stacked on top of Si pFETs showing considerable area reduction with respect to a 2D layout.
- Published
- 2017
- Full Text
- View/download PDF
20. Mechanical simulations of BOX creep for strained FDSOI
- Author
-
Alain Claverie, Remy Berthelon, Francois Andrieu, B. Mathieu, C. Le Royer, Didier Dutartre, and M. Vinet
- Subjects
010302 applied physics ,Materials science ,Silicon ,Annealing (metallurgy) ,chemistry.chemical_element ,Silicon on insulator ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Buried oxide ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Creep ,0103 physical sciences ,Forensic engineering ,Composite material ,0210 nano-technology - Abstract
The ‘BOX creep’ technique consists in introducing stress in a SOI layer by taking advantage of the creep of the buried oxide enabled its low viscosity at high temperature. In this study, we deeply investigate the impact of the structure geometry and parameters on the efficiency of creep through mechanical simulations. We find that a 1.1GPa stress can be achieved for an active length of 400nm. This result shows that BOX creep can be an efficient way to boost the performance of future FDSOI technology generations.
- Published
- 2017
- Full Text
- View/download PDF
21. Ultrathin (5nm) SiGe-On-Insulator with high compressive strain (−2GPa): From fabrication (Ge enrichment process) to in-depth characterizations
- Author
-
D. Barge, Thibaud Denneulin, C. Le Royer, David Cooper, Jean-Paul Barnes, P. Nguyen, O. Bonnin, J. M. Pedini, Olivier Gourhant, E. Baylac, Walter Schwarzenbach, Yves Campidelli, F. Glowacki, Y. Morand, Jean-Michel Hartmann, and Denis Rouchon
- Subjects
Fabrication ,Materials science ,business.industry ,Silicon on insulator ,Insulator (electricity) ,Condensed Matter Physics ,Dark field microscopy ,Electronic, Optical and Magnetic Materials ,symbols.namesake ,Scanning transmission electron microscopy ,Materials Chemistry ,Electronic engineering ,symbols ,Optoelectronics ,Wafer ,Field-effect transistor ,Electrical and Electronic Engineering ,business ,Raman spectroscopy - Abstract
300 mm ultrathin Silicon-On-Insulator (SOI) wafers with SiGe/Si stacks on top were used as pre-structures for the fabrication of 5 nm thick SiGe-On-Insulator (SGOI) substrates obtained by the Ge enrichment technique. Those substrates will be used as the channel of advanced Fully Depleted (FD) p-type Metal Oxide Semiconductor Field Effect Transistors (pMOSFET). We present in the first part the successful fabrication of 5 nm SGOI wafers. Various characterization techniques are used to investigate the Ge profile and the final strain in the fabricated 5 nm Si 0.7 Ge 0.3 film. Secondary Ions Mass Spectrometry (SIMS) and Scanning Transmission Electron Microscopy (STEM) clearly show that the Ge content is very homogeneous ( x Ge = 30 ± 1%) in the SiGe layer. Raman spectroscopy and High Angle Annular Dark Field (HAADF) STEM both confirm that the 5 nm thick SiGe film is compressively strained (−2 GPa). The second part is dedicated to the sensitivity of the Ge enrichment process (based on numerical modelling). We investigate the impact of single and combined fluctuations of the pre-structure parameters ( T Si , T SiGe,0 , x Ge,0 ) on the final SiGe layer ( T SiGe , x Ge ).
- Published
- 2014
22. Extra-low parasitic gate-to-contacts capacitance architecture for sub-14nm transistor nodes
- Author
-
D. Bensahel, Yves Morand, Heimanu Niebojewski, O. Rozeau, Marie-Anne Jaud, Emmanuel Dubois, C. Le Royer, Thierry Poiroux, Institut d’Électronique, de Microélectronique et de Nanotechnologie - UMR 8520 (IEMN), Centrale Lille-Institut supérieur de l'électronique et du numérique (ISEN)-Université de Valenciennes et du Hainaut-Cambrésis (UVHC)-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF), STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
Engineering ,parasitic capacitance ,delay ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,01 natural sciences ,Capacitance ,law.invention ,Parasitic capacitance ,self-aligned contacts ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,Parasitic extraction ,Electrical and Electronic Engineering ,Stepper ,010302 applied physics ,business.industry ,Transistor ,Electrical engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,FDSOI ,Electronic, Optical and Magnetic Materials ,Parasitic element ,Dynamic demand ,0210 nano-technology ,business ,Voltage - Abstract
We investigate in this work an original contact architecture to address 64 nm pitch transistor technology. This architecture, studied here in the fully-depleted silicon-on insulator (FDSOI) flavour, remains suitable for planar and 3D (trigate, FinFET) approaches. It includes a recessed gate-first process and self-aligned contacts that offer alternative solutions to technological problems such as limits in lithography resolution and stepper misalignment. Because this type of contact architecture is likely to increase parasitic coupling between gate and source/drain (S/D) contacts, a set of optimization rules is proposed based on numerical simulations. It is found that reducing gate thickness remains the best option to decrease the parasitic gate-to-S/D contact capacitance when transistors feature standard nitride spacers. The use of a low permittivity and thick gate capping layer is highly recommended to limit the sensitivity of parasitic capacitances to non-uniformity associated to chemical mechanical polishing (CMP) and stepper misalignment during S/D contacts lithography. When low-k spacers are considered, the same optimization rules are still relevant to further decrease parasitic capacitances at the transistor level. In the particular case of airgap spacers, they result in a 50% reduction of the total parasitic capacitance. Nevertheless, when used alone, low-k spacers can reduce parasitic coupling by up to 80%; they appear as a first order parameter to tune parasitic capacitances. At the circuit scale, it is demonstrated that an optimized architecture including low-k spacers is mandatory to meet the specific 10 nm node speed requirements at the circuit level. Insights are finally given to correctly choose the active area width W and supply voltage VDD taking into consideration the speed/power consumption trade-off. We particularly showed that if a voltage value lower than the nominal supply voltage is used, spacers optimization become even more effective to reach higher circuit speed at constant dynamic power consumption.
- Published
- 2014
23. Down to 15nm BOX: SOI extendability for planar fully depleted application beyond 22FD
- Author
-
C. Malaquin, D Parissi, C Michau, Walter Schwarzenbach, Bich-Yen Nguyen, C. Le Royer, C. Bertrand-Giuliani, L. Grenouillet, F. Boedt, Frederic Allibert, and S. Loubriat
- Subjects
010302 applied physics ,Engineering ,business.industry ,Electric breakdown ,Electrical engineering ,Silicon on insulator ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Buried oxide ,Planar ,Logic gate ,0103 physical sciences ,Wafer ,Node (circuits) ,0210 nano-technology ,business ,Scaling - Abstract
SOI wafers have been used for digital applications for 2 decades. Historically separated between the high-performance, Partially Depleted (PDSOI) [1] and ultra-low power Fully Depleted (FDSOI) [2], the two architectures merged more recently into the UTBB-FDSOI (Ultra-Thin Body & BOX) technology [3]. In order to maintain optimum device performance, the buried oxide (BOX) thickness has been scaled from 25nm (28nm node) to 20nm (22nm node). In this paper we present the benefits of further scaling the BOX to 15nm for the next node and describe the process used to fabricate such SOI wafers along with their physical and electrical properties.
- Published
- 2016
24. Fabrication and electrical characterizations of SGOI tunnel FETs with gate length down to 50 nm
- Author
-
P. Nguyen, S. Barraud, Nicolas Bernier, M. Vinet, Sebastien Martinie, Sorin Cristoloveanu, C. Le Royer, Louis Hutin, F. Glowacki, F. Allain, A. Villalon, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010), European Project: 257267,ICT,FP7-ICT-2009-5,STEEPER(2010), and European Project: 323841,EC:FP7:ICT,FP7-ICT-2013-C,SISPIN(2013)
- Subjects
Fabrication ,Materials science ,Tunnel FET ,Band gap ,SiGe ,Gate length ,Silicon on insulator ,Nanotechnology ,02 engineering and technology ,01 natural sciences ,SGOI ,TFET ,0103 physical sciences ,Materials Chemistry ,Electrical and Electronic Engineering ,ION ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Metal gate ,Saturation (magnetic) ,Quantum tunnelling ,010302 applied physics ,SOI ,business.industry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,Optoelectronics ,0210 nano-technology ,business - Abstract
We report the fabrication and the characterization of tunnel FETs fabricated on SiGe-On-Insulator with a High-κ Metal Gate (HKMG) CMOS process. The beneficial impact of low band gap SiGe channel on I D ( V G ) characteristics is presented and analyzed: compressive Si 0.75 Ge 0.25 enables to increase by a factor of 25 the saturation currents, even at small gate length ( L G = 50 nm). This large gain is due to the threshold voltage shift and to enhanced intrinsic band-to-band tunneling injection (both related to the narrow band gap of SiGe channels).
- Published
- 2016
25. On the use of a localized STRASS technique to obtain highly tensile strained Si regions in advanced FDSOI CMOS devices
- Author
-
C. Plantier, Frederic Boeuf, Maud Vinet, J.M. Hartmann, Michel Haond, Claude Tabone, Anthony Payet, Yves Morand, A. Bonnevialle, J. M. Pedini, Denis Rouchon, Shay Reboh, N. Rambal, C. Le Royer, Alain Claverie, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Matériaux et dispositifs pour l'Electronique et le Magnétisme (CEMES-MEM), Centre d'élaboration de matériaux et d'études structurales (CEMES), Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut de Chimie de Toulouse (ICT-FR 2599), Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut de Recherche pour le Développement (IRD)-Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Institut de Chimie du CNRS (INC)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut de Recherche pour le Développement (IRD)-Institut de Chimie du CNRS (INC)-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Université Toulouse III - Paul Sabatier (UT3), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA), Laboratoire d'Electronique et des Technologies de l'Information (CEA-LETI), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes (UGA), Laboratoire inter-universitaire des systèmes atmosphèriques (LISA), Centre National de la Recherche Scientifique (CNRS)-Université Paris-Est Créteil Val-de-Marne - Paris 12 (UPEC UP12)-Université Paris Diderot - Paris 7 (UPD7)-Institut national des sciences de l'Univers (INSU - CNRS), Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Centre National de la Recherche Scientifique (CNRS)-Université Toulouse III - Paul Sabatier (UPS), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées, Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut de Chimie de Toulouse (ICT), Institut de Recherche pour le Développement (IRD)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Université de Toulouse (UT)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université de Toulouse (UT)-Institut de Recherche pour le Développement (IRD)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université de Toulouse (UT)-Centre National de la Recherche Scientifique (CNRS)-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), and Université de Toulouse (UT)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Silicon nitride ,Electron mobility ,Silicon ,Materials science ,Strained silicon ,CMOS devices ,Recrystallization (metallurgy) ,Fd-soi devices ,Silicon on insulator ,Hole mobility ,Epitaxy ,Process condition ,Ultimate tensile strength ,FDSOI-strain-tensile stress-STRASS ,ComputingMilieux_MISCELLANEOUS ,Tensile strain ,Silicon alloys ,Improve performance ,Module integration ,[PHYS]Physics [physics] ,business.industry ,Germanium ,Condensed Matter Physics ,CMOS integrated circuits ,Ion implantation ,CMOS ,Selective epitaxy ,Optoelectronics ,business ,Advanced CMOS - Abstract
cited By 1; International audience; Strain boosters are an effective way to improve performances in advanced CMOS FDSOI devices. Hole mobility is higher in pFETs with compressive channels. Meanwhile, electron mobility is higher for nFETs with tensile channels. We present an alternative technique to blanket sSOI substrates. The efficiency of the “Strained Silicon by Top Recrystallization of Amorphized SiGe on SOI” technique has been previously successfully demonstrated on blanket SOI (+ 1.6 GPa tensile strain achieved). Here we demonstrate a simple and efficient STRASS module integration in an advanced FDSOI route (14 nm design rules) which allows to cointegrate tensile Si for nFETs and unchanged pFETs. After pFETs have been protected (SiN), the STRASS technique has been used in the SOI nFET patterns. This process requires SiGe selective epitaxy, buried amorphization by ion implantation, recrystallization and SiGe removal. Raman spectroscopy is used to characterize the stress in Si areas with respect to process conditions (implantation, active area dimensions). Moreover, the mechanisms of SiGe relaxation will be discussed as function of device dimensions and SiGe layer properties (thickness, Ge content). We demonstrate the successful integration of localized STRASS module: tensile Si patterns (for nFETs) with a level of stress of + 1.6 GPa, cointegrated with unmodified pFETs. (© 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim). Copyright © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
- Published
- 2016
26. Smart solutions for efficient dual strain integration for future FDSOI generations
- Author
-
C. Plantier, Pascal Besson, C. Le Royer, A. Bonnevialle, Michel Haond, Remy Berthelon, M. Vinet, Olivier Weber, M. Casse, S. Reboh, J-M. Pedini, Yves Morand, Alain Claverie, J.M. Hartmann, B. Mathieu, D. Rouchon, Francois Andrieu, Frederic Boeuf, D. Marseilhan, Sebastien Kerdiles, N. Rambal, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Matériaux et dispositifs pour l'Electronique et le Magnétisme (CEMES-MEM), Centre d'élaboration de matériaux et d'études structurales (CEMES), Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut de Chimie de Toulouse (ICT), Institut de Recherche pour le Développement (IRD)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Université de Toulouse (UT)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université de Toulouse (UT)-Institut de Recherche pour le Développement (IRD)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université de Toulouse (UT)-Centre National de la Recherche Scientifique (CNRS)-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Université de Toulouse (UT)-Centre National de la Recherche Scientifique (CNRS), Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut de Chimie de Toulouse (ICT-FR 2599), Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut de Recherche pour le Développement (IRD)-Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Institut de Chimie du CNRS (INC)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut de Recherche pour le Développement (IRD)-Institut de Chimie du CNRS (INC)-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Université Toulouse III - Paul Sabatier (UT3), and Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)
- Subjects
Mobility model ,Electron mobility ,Materials science ,Electrical data ,Silicon on insulator ,Hole mobility ,02 engineering and technology ,VLSI circuits ,01 natural sciences ,State of the art ,Stress (mechanics) ,0103 physical sciences ,Electronic engineering ,Active regions ,010302 applied physics ,Very-large-scale integration ,[PHYS]Physics [physics] ,Strain (chemistry) ,business.industry ,Creep ,021001 nanoscience & nanotechnology ,CMOS ,Smart solutions ,Optoelectronics ,Stress profile ,Creep process ,0210 nano-technology ,business - Abstract
cited By 1; International audience; We present deep insights on the integration and physics of two new strain boosters for FDSOI CMOS. 'STRASS' and 'BOX creep' techniques (for tensily and compressively stressed channels, respectively) are for the first time integrated in a localized manner on a state-of-The-Art 14nm FDSOI route. STRASS enables to achieve +1.6 GPa in SOI active regions (w.r.t. +1.3 GPa for thin BOX sSOI). BOX creep process leads to more than +10% in hole mobility and +6% in Ieff(Ioff) plots. The BOX creep efficiency is investigated with respect to device dimensions: The electrical data evolution matches the proposed mobility model based on 2D simulated stress profiles. © 2016 IEEE.
- Published
- 2016
27. Experimental Investigation of Hole Transport in Strained $\hbox{Si}_{1 - x}\hbox{Ge}_{x}/\hbox{SOI}$ pMOSFETs: Part II—Mobility and High-Field Transport in Nanoscaled PMOS
- Author
-
Louis Hutin, G. Reimbold, M. Casse, C. Le Royer, J-M Hartmann, and David K. C. Cooper
- Subjects
Electron mobility ,Materials science ,Silicon ,business.industry ,Scattering ,chemistry.chemical_element ,Silicon on insulator ,Inelastic scattering ,Electronic, Optical and Magnetic Materials ,PMOS logic ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,MOSFET ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
We experimentally studied the high-field transport and mobility in nanoscaled Si1 -xGex/silicon on insulator (SOI) PMOSFETs with gate length down to 17 nm. The study relies on the electrical characterization performed from room temperature down to 20 K. Strain relaxation in short channel has been evidenced by nanobeam electron diffraction, which explains the decrease of hole velocity and mobility with gate length. Despite this strain relaxation, a mobility gain is nevertheless preserved in sub-100-nm SiGe PMOS, with a maximum gain for 20% Ge in the layer. Short-channel mobility extraction reveals a lower contribution of Coulomb scattering for SiGe channel PMOS, which may explain this mobility improvement. We also demonstrate that the short-channel transport is governed by the Ge composition in the SiGe layer, with an optimum concentration of 20% Ge. We have finally evidenced a different temperature dependence of the limiting velocity at high field between SiGe and Si PMOS, suggesting that SiGe transport will be governed by inelastic scattering instead of ballisticity as L is shrunk.
- Published
- 2012
28. Experimental Investigation of Hole Transport in Strained $\hbox{Si}_{1 - x}\hbox{Ge}_{x}/\hbox{SOI}$ pMOSFETs—Part I: Scattering Mechanisms in Long-Channel Devices
- Author
-
G. Reimbold, Louis Hutin, M. Casse, C. Le Royer, J.M. Hartmann, and David K. C. Cooper
- Subjects
Electron mobility ,Materials science ,Silicon ,business.industry ,Scattering ,Silicon on insulator ,chemistry.chemical_element ,Electron holography ,Electronic, Optical and Magnetic Materials ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Electron diffraction ,MOSFET ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
This paper presents a wide experimental study of hole transport in SiGe pMOSFETs. Various Ge contents, from 20% up to 60%, and growth templates [unstrained or tensely strained silicon-on-insulator (SOI)] were screened in order to study the influence of various strain levels and Ge concentrations. Electrical results have been compared with the amount of strain in the channel, characterized through dark-field electron holography and nano-beam electron diffraction. The SiGe channel/oxide interface has been investigated through spectroscopic charge pumping and low temperature measurements. We found the signature of Ge-induced defects, particularly near the valence band. The different scattering mechanisms limiting the hole mobility in long-channel transistors have been decorrelated and discussed in the light of the different experimental data provided. We have shown in particular the low contribution of alloy scattering in the SiGe devices under study, and that carrier transport is dominated by the strain effect for Ge content up to 40%. The roughness parameters of the SiGe channel/oxide interface are also modified, with a less prejudicial impact on mobility. The effect of strain and Ge content on the different scattering mechanisms has been established. The combination of all the scattering contributions leads to a maximum mobility at room temperature for a Ge content xGe = 0.4 on an SOI template, or equivalently, xGe = 0.6 on a strained SOI template.
- Published
- 2012
29. Tunneling FETs on SOI: Suppression of ambipolar leakage, low-frequency noise behavior, and modeling
- Author
-
Sorin Cristoloveanu, Jing Wan, C. Le Royer, and Alexander Zaslavsky
- Subjects
Materials science ,business.industry ,Ambipolar diffusion ,Silicon on insulator ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Tunnel junction ,MOSFET ,Materials Chemistry ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,business ,AND gate ,Quantum tunnelling ,Leakage (electronics) - Abstract
We report on thin-body tunneling field-effect transistors (TFETs) built on SOI substrates with both SiO 2 and HfO 2 gate dielectrics. The source–drain leakage current is suppressed by the introduction of an intrinsic region adjacent to the drain, reducing the electric field at the tunnel junction in the off state. We also investigate the temperature dependence of the TFET characteristics and demonstrate that the temperature-induced change in the Si bandgap is the main mechanism that determines the tunneling barrier and hence the drain current I D . We present a model of the TFET as a combination of a gated diode and a MOSFET, which can be solved analytically and can predict the experimentally measured I D over a wide range of drain and gate bias. Finally we report on the low frequency noise (LFN) behavior of TFETs, which unlike conventional MOSFETs exhibits 1/ f 2 frequency dependence even for large gate areas. This dependence indicates less trapping due to the much smaller effective gate length over the tunneling junction.
- Published
- 2011
30. Dual strained channel CMOS in FDSOI architecture: New insights on the device performance
- Author
-
Konstantin Bourdelle, B. Previtali, David Cooper, P. Scheiblin, C. Tabone, Cecile Aulnette, M. Valenza, F. Allain, Mikael Casse, Jean-Francois Damlencourt, J. Gyani, Bich-Yen Nguyen, L. Brevard, Christophe Figuet, Pierre Perreau, Olivier Weber, S. Baudot, Nicolas Daval, C. Le Royer, Francois Andrieu, and C. Rauer
- Subjects
Materials science ,business.industry ,Transconductance ,Electrical engineering ,Silicon on insulator ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Silicon-germanium ,chemistry.chemical_compound ,Strain engineering ,chemistry ,CMOS ,MOSFET ,Materials Chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,Metal gate ,business ,High-κ dielectric - Abstract
We report an original Dual Strained Channel On Insulator (DSCOI) Fully Depleted CMOS architecture by co-integrating nFETs on sSOI and pFETs on Si/c-SiGe/(s)SOI with a TiN/HfO 2 gate stack (EOT = 1.15 nm) and down to 40 nm gate lengths. We demonstrate for the first time large gains for transconductance (up to +125%) and mobility (+100%) even for short channel pFETs. This enables us to improve the ON(OFF) pFETs trade-off ( I ON + 23% for a given I OFF = 100 nA/μm), and thus to obtain similar I ON for n and pFETs (∼650 μA/μm at V DD = 1 V). Meanwhile, thanks to a channel material/strain engineering, the threshold voltages are adjusted ( V th ∼ ±0.2 V) for high performance (HP) CMOS with a single mid-gap metal gate. Extracted interface trap densities ( N T = 5–8.5 × 10 17 cm −3 eV −1 ) using low frequency noise indicate the excellent integrity of the TiN/HfO 2 stack when compared to SOI reference samples.
- Published
- 2011
31. Gate-induced drain leakage in FD-SOI devices: What the TFET teaches us about the MOSFET
- Author
-
Sorin Cristoloveanu, Jing Wan, Alexander Zaslavsky, and C. Le Royer
- Subjects
Materials science ,business.industry ,Field effect ,Silicon on insulator ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Depletion region ,Gate oxide ,MOSFET ,Tunnel diode ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,business ,Leakage (electronics) - Abstract
This paper compares the gate-induced drain leakage (GIDL) in fully-depleted (FD) silicon-on-insulator (SOI) tunneling field effect transistor (TFET) and in standard metal-oxide-semiconductor FET (MOSFET) fabricated in the same process. The measurements show that the MOSFET GIDL current is lower than the GIDL in a TFET with the same junction doping, especially for devices with thick gate oxide and under low drain bias. A model describing lateral band-to-band tunneling (BTBT) is developed for GIDL in the FD-SOI TFET. By combining the model of gate-controllable tunneling diode in series with a field effect diode, we achieve an accurate picture of GIDL in FD-SOI MOSFETs.
- Published
- 2011
32. New numerical low frequency noise model for front and buried oxide trap density characterization in FDSOI MOSFETs
- Author
-
Francois Lime, C. Le Royer, Romain Ritzenthaler, O. Faynot, Frédéric Martinez, J. El Husseini, Benjamin Iniguez, M. Valenza, J. Armand, Maryline Bawedin, Institut d’Electronique et des Systèmes (IES), Université de Montpellier (UM)-Centre National de la Recherche Scientifique (CNRS), Micro électronique, Composants, Systèmes, Efficacité Energétique (M@CSEE), Université de Montpellier (UM)-Centre National de la Recherche Scientifique (CNRS)-Université de Montpellier (UM)-Centre National de la Recherche Scientifique (CNRS), Universitat Rovira i Virgili, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
010302 applied physics ,Materials science ,business.industry ,Infrasound ,Charge density ,Silicon on insulator ,Spectral density ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Noise (electronics) ,Atomic and Molecular Physics, and Optics ,[SPI.TRON]Engineering Sciences [physics]/Electronics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Background noise ,Depletion region ,0103 physical sciences ,MOSFET ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS - Abstract
In this paper, we present a new numerical model of the inversion charge power spectral density in FDSOI MOSFET devices with ultra thin body. Numerical simulation results are compared to those of the classical formulation and to experimental data. A good agreement of measurements is obtained with the proposed model. The results show that the noise behavior in FDSOI MOSFETs is strongly related to the front and buried oxides defects, even if the channel is located at the front interface. In other words, the classical formulation of the flat-band voltage power spectral density (PSD) overestimate the front oxide trap density and no more holds true in SOI MOSFETs LFN characterization.
- Published
- 2011
33. Interfaces and performance: What future for nanoscale Ge and SiGe based CMOS?
- Author
-
C. Le Royer
- Subjects
Materials science ,Binary alloy ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,Condensed Matter Physics ,Engineering physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,CMOS ,Hardware_GENERAL ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Semiconductor alloys ,Electrical and Electronic Engineering ,Nanoscopic scale ,Hardware_LOGICDESIGN ,High-κ dielectric - Abstract
SiGe and Ge based CMOS are very attractive for the sub-22nm nodes. In this paper we discuss the challenges regarding the integration of these materials in the channels of advanced MOSFETs. After a review of the recent achievements in the field of SiGe and Ge based substrates, we focus on the different solutions that enable to obtain SiGe and Ge channel MOSFETs and on the status concerning the best high mobility CMOS (from cSGOI and GeOI MOSFETs to DualChannel CMOS). After this topical outline, we conclude on the possible ways for SiGe and Ge to replace Si for very aggressive nodes.
- Published
- 2011
34. Detailed investigation of effective field, hole mobility and scattering mechanisms in GeOI and Ge pMOSFETs
- Author
-
E. Augendre, W. Van Den Daele, Jerome Mitard, C. Le Royer, Sorin Cristoloveanu, and Gerard Ghibaudo
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,business.industry ,Scattering ,Silicon on insulator ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Electric field ,0103 physical sciences ,MOSFET ,Materials Chemistry ,Surface roughness ,Electronic engineering ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,High-κ dielectric - Abstract
We present a methodology for accurate calculation of effective electric field in Ge and GeOI (Germanium-on-Insulator) pMOSFETs, based on the experimental determination of the parameter η, linking the inversion charge and the effective field. The fabrication procedure of wafers and devices is described. The novel extraction methodology for η factor has been validated experimentally for both bulk-Ge and fully-depleted (FD) GeOI MOSFETs. A difference in η of 20% has been found between the two structures. This correction has a significant impact on effective mobility analysis for advanced GeOI-based pMOSFETs. Furthermore, the influence of the temperature on η parameter has been investigated. The analysis reveals the behavior of the surface roughness limited mobility, which appears to be independent on T and to vary as a function of E eff - 1 for holes in Ge. An appropriate Coulomb limited mobility modelling is finally proposed, providing relevant information on the interface trap charge in undoped GeOI pMOSFET devices.
- Published
- 2011
35. In-depth physical investigation of GeOI pMOSFET by TCAD calibrated simulation
- Author
-
M. Vinet, Louis Hutin, C. Le Royer, Marie-Anne Jaud, P. Scheiblin, K. Romanjek, and B. Grandchamp
- Subjects
Engineering ,Mobility model ,Silicon ,business.industry ,Circuit design ,chemistry.chemical_element ,Germanium ,Condensed Matter Physics ,computer.software_genre ,Electronic, Optical and Magnetic Materials ,chemistry ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,Electronic engineering ,Optoelectronics ,Computer Aided Design ,Electrical and Electronic Engineering ,business ,computer ,Voltage - Abstract
Simulations of germanium-on-insulator fully-depleted pMOSFET have been performed from process to device using 2D Silvaco software and compared with experimental results. A comprehensive study of these experimental results allows enlightening the specificity of GeOI devices and leads to a good description of electrical output characteristics at low and high drain-to-source voltage and for various gate lengths. More specifically, the adaptation of mobility model from silicon to germanium, a correct description of interface trap densities and a good consideration of leakage current mechanisms are the main challenges addressed in this paper for GeOI pMOSFET simulation.
- Published
- 2011
36. (Invited) Future Challenges and Diversifications for Nanoelectronics by the End of the Roadmap and Beyond
- Author
-
J-M. Fedeli, Philippe Robert, N. Sillon, Simon Deleonibus, Thomas Ernst, Thierry Poiroux, B. De Salvo, M. Vinet, B. Giffard, C. Le Royer, M. Aid, and O. Faynot
- Subjects
Nanoelectromechanical systems ,Computer science ,Wafer bonding ,business.industry ,Electrical engineering ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,CMOS ,Nanoelectronics ,Dynamic demand ,Hardware_INTEGRATEDCIRCUITS ,business ,Standby power ,Low voltage - Abstract
The microelectronics industry is facing historical challenges to down scale CMOS devices through the demand for low voltage, low power, high performance and increased functionalities. The implementation of new materials and devices architectures will be necessary. HiK gate dielectric and metal gate are among the most strategic options to reduce power consumption and manage low supply voltage. Multigate architectures increase MOSFETs drivability, reduce power, and allow new memory devices opportunities for future applications. By introducing new materials(HiK, Ge, III-V, Carbon based materials like diamond, graphene and CNTs, molecules,…), and new functions such as sensing and actuation allowing to interface the outside world (M/NEMS, filters, Imagers,…), Si based CMOS will be scaled beyond the ITRS as the System-on- Chip/Wafer Platform. The Heterogeneous integration of these devices with CMOS will require new 3D and Packaging schemes leading to the increase of effective packing density, improving systems figures of merit. Index Terms- CMOSFETs, Diamond, Germanium, Nanocrystals, Flash Memories, Silicon on insulator technology, Strain, Wafer bonding, Heterogeneous, MEMS, NEMS, BAW, RF, Switch, Optical interconnect, Imagers, 3D-TSV, 3D SOW. The International Technology Roadmap of Semiconduct three types of products: High Performance (HP), Low Operating Power (LOP) and Low Standby Power (LSTP) devices. In the HP case, an historical fact happens by the 32nm node: the contribution of static power dissipation become close to the dynamic power somewhat this evolution by improving the saturation current to leakage current ratio. In this review, we will first analyze the main limitations and showstoppers affecting CMOS scaling. Issues on gate stack, channel and source and drain engineering as well as new devices architectures(FDSOI or multigate devices) are discussed. Low power consumption and Heterogeneous functions integration will be leveraged by future nomadic systems. The increased number of devices and different types of signals will, in turn, leverage 3D IN Package or ON chip co-integration.
- Published
- 2010
37. Experimental Evidence of Sidewall Enhanced Transport Properties of Mesa-Isolated (001) Germanium-On-Insulator pMOSFETs
- Author
-
M. Vinet, A. Pouydebasque, Claude Tabone, F. Allain, J.M. Hartmann, H. Grampeix, E. Augendre, Bernard Previtali, K. Romanjek, and C. Le Royer
- Subjects
Electron mobility ,Inversion charge ,Materials science ,Silicon ,business.industry ,Electrical engineering ,Charge density ,High density ,chemistry.chemical_element ,Insulator (electricity) ,Germanium ,Electronic, Optical and Magnetic Materials ,chemistry ,MOSFET ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
In this brief, the hole transport properties of narrow-width germanium-on-insulator (GeOI) pMOSFETs are investigated. We report, for the first time, +65% low-field hole mobility enhancement in narrow-width (0.29-mum effective widthW eff) versus large-width (10- mum W eff) GeOI mesa-isolated devices. The observed enhancement, which is independent of the device length down to 90 nm, is attributed to improved sidewall transport properties resulting in higher hole mobility on the sides than on the top of the devices. At high inversion charge density N inv~ 1013 cm-2, + 55% hole effective mobility improvement is preserved. The top and side low-field mobilities ( mutop and muside, respectively) were extracted, showing + 90% mobility improvement at the sides (mutop = 125 cm2/V middots-1 and muside= 240 cm2/V middots-1).
- Published
- 2009
38. High performance 70nm gate length germanium-on-insulator pMOSFET with high-k/metal gate
- Author
-
Loic Sanchez, K. Romanjek, E. Augendre, A. Pouydebasque, Louis Hutin, Fabien Boulanger, Claude Tabone, Marie-Anne Jaud, Simon Deleonibus, V. Mazzocchi, J.M. Hartmann, P. Scheiblin, Maud Vinet, C. Le Royer, Laurent Clavelier, H. Grampeix, R. Truche, G. Reimbold, X. Garros, and S. Soliveres
- Subjects
Electron mobility ,Materials science ,business.industry ,Analytical chemistry ,Electrical engineering ,chemistry.chemical_element ,Germanium ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Germanide ,chemistry.chemical_compound ,chemistry ,MOSFET ,Materials Chemistry ,Electrical and Electronic Engineering ,Tin ,business ,Leakage (electronics) ,Diode ,High-κ dielectric - Abstract
We demonstrate for the first time 70 nm gate length TiN/HfO 2 pMOSFETs on 200 mm GeOI wafers, with excellent performance: I ON = 260 μA/μm and I OFF = 500 nA/μm @ V d = −1.0 V (without germanide). These performance are obtained using adapted counterdoping and pocket implants. We report the best CV / I vs. I OFF trade-off for Ge or GeOI devices: CV / I = 4.4 ps, I OFF = 500 nA/μm @ V d = −1 V. Moreover, based on fine electrical characterizations ( μ , D it , R access , etc.) at T = 77–300 K, in-depth analysis of both ON and OFF states were carried out. Besides, calibrated TCAD simulations were performed to predict the performance enhancements which can be theoretically reached after further device optimization. By using germanide and reducing both interface state density and diode leakage we expect I ON = 450 μA/μm, I OFF = 100 nA/μm @ V d = −1 V for L g = 70 nm.
- Published
- 2009
39. 105nm Gate length pMOSFETs with high-K and metal gate fabricated in a Si process line on 200mm GeOI wafers
- Author
-
Chrystel Deguet, K. Romanjek, Laurent Clavelier, S. Soliveres, R. Truche, M. Vinet, A. Pouydebasque, G. Le Carval, M.-C. Roure, C. Le Royer, H. Grampeix, Loic Sanchez, Claude Tabone, Simon Deleonibus, and J.M. Hartmann
- Subjects
Materials science ,Silicon ,business.industry ,Transconductance ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Ion implantation ,chemistry ,MOSFET ,Materials Chemistry ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business ,Metal gate ,Current density ,High-κ dielectric - Abstract
We report on the fabrication and electrical characterization of deep sub-micron (gate length down to 105 nm) GeOI pMOSFETs. The Ge layer obtained by hetero-epitaxy on Si wafers has been transferred using the Smart Cut TM process to fabricate 200 mm GeOI wafers with Ge thickness down to 60–80 nm. A full Si MOS compatible pMOSFET process was implemented with HfO 2 /TiN gate stack. The electrical characterization of the fabricated devices and the systematic analysis of the measured performances ( I ON , I OFF , transconductance, low field mobility, S , DIBL) demonstrate the potential of pMOSFET on GeOI for advanced technological nodes. The dependence of these parameters have been analyzed with respect to the gate length, showing very good transport properties ( μ h ∼ 250 cm 2 /V/s, I ON = 436 μA/μm for L G = 105 nm), and OFF current densities comparable or better than those reported in the literature.
- Published
- 2008
40. Avalanche Breakdown Due to 3-D Effects in the Impact-Ionization MOS (I-MOS) on SOI: Reliability Issues
- Author
-
D. Blachier, Simon Deleonibus, C. Le Royer, Laurent Clavelier, and F. Mayer
- Subjects
Materials science ,Physics::Instrumentation and Detectors ,business.industry ,Silicon on insulator ,Circuit reliability ,Subthreshold slope ,Avalanche breakdown ,Computer Science::Other ,Electronic, Optical and Magnetic Materials ,Impact ionization ,Reliability (semiconductor) ,Electronic engineering ,Optoelectronics ,Light emission ,Electrical measurements ,Electrical and Electronic Engineering ,business - Abstract
In this paper, we report on reliability issues concerning the impact-ionization MOS (I-MOS). For the first time, the anomalously high off current in the planar I-MOS is studied owing to light-emission experiments. We show that a first breakdown occurs at the width borders of the device, followed by the theoretical volume breakdown. This result is intensively studied through 3D TCAD simulations. The results are correlated with electrical measurements. We finally propose and validate a degradation mechanism based on charge trapping.
- Published
- 2008
41. In-depth investigation of the mechanisms impacting C-V/G-V characteristics of Ge/GeON/HfO2/TiN stacks by electrical modeling
- Author
-
Laurent Clavelier, X. Garros, C. Le Royer, Fabien Boulanger, Pascal Besson, L. Vandroux, Simon Deleonibus, J.M. Hartmann, Virginie Loup, and Perrine Batude
- Subjects
Materials science ,Condensed matter physics ,chemistry.chemical_element ,Germanium ,Inversion (meteorology) ,Low frequency ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Depletion region ,chemistry ,MOSFET ,Forensic engineering ,Electrical and Electronic Engineering ,Tin - Abstract
Using C-V and G-V electrical modeling, we give insights on the atypical behavior of C-V characteristics with Ge substrates i.e. the low frequency behavior in strong inversion and the large bumps in the weak inversion region. The modeling of the strong inversion region reveals that a small density of bulk defects - in the range of 10^1^5-10^1^6.cm^-^3 - can explain the low frequency behavior of the C-Vs. The modeling of the depletion region takes into account the specific properties of germanium, especially the fast minority carrier response. It demonstrates that considering the occupation of interface defects by minority carriers is essential to explain the weak inversion bumps. This study also clearly shows that common D"i"t extraction techniques using C-V and G-V measurement on Si structures are no longer applicable for germanium.
- Published
- 2007
42. Comparative study of the fabricated and simulated Impact Ionization MOS (IMOS)
- Author
-
Claude Tabone, G. Le Carval, C. Le Royer, F. Mayer, Laurent Clavelier, and Simon Deleonibus
- Subjects
Materials science ,business.industry ,Subthreshold conduction ,Analytical chemistry ,Condensed Matter Physics ,Subthreshold slope ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,Impact ionization ,MOSFET ,Materials Chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,Diffusion (business) ,business ,Quantum tunnelling ,Voltage - Abstract
Drift diffusion phenomena limits the subthreshold slope of conventional MOSFET to 60 mV/dec at room temperature. This paper deals with a new type of device, the Impact Ionization MOS (IMOS), which exhibits subthreshold slopes down to a few mV/dec. The electrical results of the fabricated IMOS are analysed and the scalability of this device is investigated thanks to TCAD simulations. The scaling of the dimensions allows a drastic reduction of the supply voltage and higher ON currents, but nanometer-large IMOS exhibit higher OFF current too due to Band-to-Band Tunnelling.
- Published
- 2007
43. A New Method to Induce Local Tensile Strain in SOI Wafers: First Strain Results of the 'BOX Creep' Technique
- Author
-
A. Roule, Nicolas Bernier, Maud Vinet, C. Plantier, J. M. Pedini, C. Le Royer, L. Grenouillet, Y. Morand, A. Bonnevialle, D. Marseilhan, Claude Tabone, D. Rouchon, Pascal Besson, and S. Reboh
- Subjects
Materials science ,Creep ,Strain (chemistry) ,Silicon on insulator ,Wafer ,Tensile strain ,Composite material - Published
- 2015
44. Mechanical simulation of stress engineering solutions in highly strained p-type FDSOI MOSFETs for 14-nm node and beyond
- Author
-
J-C. Barbe, Joris Lacord, M-A. Jaud, M. Casse, Mireille Mouis, O. Rozeau, P. Rivallin, Sebastien Martinie, C. Le Royer, J. Pelloux-Prayer, L. Grenouillet, A. Idrissi-El Oudrhiri, Nicolas Bernier, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010), European Project: 325633,EC:FP7:SP1-JTI,ENIAC-2012-2,PLACES2BE(2012), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Self-Aligned In-Plane Stressors (SAIPS) ,Materials science ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,Hardware_PERFORMANCEANDRELIABILITY ,01 natural sciences ,gate-first process ,PMOS logic ,Strain ,Stress (mechanics) ,chemistry.chemical_compound ,stress engineering solutions ,Silicon germanium ,0103 physical sciences ,MOSFET ,Electronic engineering ,Hardware_INTEGRATEDCIRCUITS ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,010302 applied physics ,Shallow Trench Isolation (STI) ,business.industry ,mechanical simulation ,021001 nanoscience & nanotechnology ,Silicon-germanium ,silicon-on-insulator ,chemistry ,gate-last process ,Logic gate ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business ,Communication channel - Abstract
International audience; Stress engineering is a powerful tool to enhance nanoscale device performances. In this study we developed a methodology of 14nm strained pMOS FDSOI device mechanical simulation in order to carefully evaluate different stress effects on device performances. Mechanical simulation results are presented for different process solutions, such as Gate-First (GF) and Gate-Last (GL) processes but also for variation of germanium contents in source/drain and channel regions.
- Published
- 2015
45. A physics-based compact model for Fully-Depleted Tunnel Field Effect Transistor
- Author
-
J-C. Barbe, Joris Lacord, M-A. Jaud, Sebastien Martinie, Thierry Poiroux, O. Rozeau, G. Le Carval, and C. Le Royer
- Subjects
Engineering ,business.industry ,Spice ,Transistor ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Tunnel field-effect transistor ,Electrostatics ,Capacitance ,law.invention ,law ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,business ,Quantum tunnelling ,Hardware_LOGICDESIGN ,Voltage - Abstract
Tunnel FETs (TFET) are promising candidates for integration in logic circuits at very low supply voltages. We report here a SPICE compact model that describes all regimes of the TFET transistor. The current contribution from source and drain sides is described by an original set of equations including the electrostatic behavior and the effect of superlinear onset. Finally, this model is implemented using Verilog-A language and compared with TCAD simulations.
- Published
- 2015
46. Experimental investigations of SiGe channels for enhancing the SGOI tunnel FETs performance
- Author
-
P. Nguyen, F. Glowacki, A. Villalon, S. Barraud, Sebastien Martinie, C. Le Royer, M. Vinet, Sorin Cristoloveanu, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010), European Project: 257267,ICT,FP7-ICT-2009-5,STEEPER(2010), European Project: 323841,EC:FP7:ICT,FP7-ICT-2013-C,SISPIN(2013), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,Fabrication ,Tunnel FET ,Band gap ,SiGe ,Silicon on insulator ,02 engineering and technology ,01 natural sciences ,SGOI ,TFET ,0103 physical sciences ,ION ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Metal gate ,Saturation (magnetic) ,Quantum tunnelling ,010302 applied physics ,SOI ,business.industry ,Electrical engineering ,021001 nanoscience & nanotechnology ,SS ,Threshold voltage ,Optoelectronics ,0210 nano-technology ,business ,Communication channel - Abstract
session 4: Steep slope devices; International audience; We report the fabrication and the characterization of Tunnel FETs fabricated on SiGe-On-Insulator with a High K Metal Gate (HKMG) CMOS process. The beneficial impact of low band gap SiGe channel on ID(VG) characteristics is presented and analyzed: compressive Si0.75Ge0.25 enables to increase by a factor of 20 the saturation currents, even at small gate length (LG=50nm). This large gain is due to the threshold voltage shift and to enhanced intrinsic band-to-band tunneling injection (both related to the narrow band gap of SiGe channels).
- Published
- 2015
47. New insights on strained-Si on insulator fabrication by top recrystallization of amorphized SiGe on SOI
- Author
-
C. Plantier, Romain Wacquez, Sylvain Maitrejean, Yves Morand, A. Bonnevialle, L. Grenouillet, M. Casse, S. Reboh, D. Rouchon, Aomar Halimaoui, M. Vinet, C. Le Royer, and J.M. Hartmann
- Subjects
Diffraction ,Materials science ,Fabrication ,business.industry ,Silicon on insulator ,Recrystallization (metallurgy) ,Microstructure ,symbols.namesake ,Crystallography ,Lattice constant ,Transmission electron microscopy ,symbols ,Optoelectronics ,business ,Raman spectroscopy - Abstract
We demonstrate the fabrication of strained Si-On-Insulator (sSOI) using a relaxation process of a compressive SiGe layer on SOI, and the transfer of lattice parameter from the relaxed SiGe to the Si layer. This process is based on a partial amorphization and recrystallization of the SiGe/Si stack. We used HRXRD (High Resolution X-Ray Diffraction) and TEM (Transmission Electron Microscopy) to characterize the microstructure of the layers. Strain and Stress evolutions throughout the process were determined using Raman spectroscopy and wafer bow measurements. Using a stack of 40 nm Si 0 . 7 Ge 0 . 3 on 9 nm Si, we obtained tensile Si layer having a stress of + 1.6 GPa which corresponds to a 80% lattice parameter transfer from SiGe to Si.
- Published
- 2015
48. Investigation of ambipolar signature in SiGeOI homojunction tunnel FETs
- Author
-
Sebastien Martinie, Claude Tabone, R. P. Oeflein, A. Villalon, Louis Hutin, J. Borrel, M. Vinet, and C. Le Royer
- Subjects
Materials science ,business.industry ,Ambipolar diffusion ,Schottky barrier ,Transistor ,Doping ,Biasing ,Signature (logic) ,law.invention ,law ,Optoelectronics ,Homojunction ,business ,Quantum tunnelling - Abstract
In this paper, we study the ambipolar tunneling signature from the output characteristics of TFETs featuring Si 0.8 Ge 0.2 homojunctions, which we compare to those measured on conventional MOSFETs and Schottky Barrier FETs. The difference with the former is immediate since a single TFET can display a transistor effect under both pull-up (nTFET) and pulldown (pTFET) biasing conditions. This is however a property shared with SBFETs, in which injection occurs via tunneling through a single carrier Schottky Barrier instead of band-to-band tunneling. Without requiring quantitative considerations on the current levels or transfer characteristics, we find that simply performing the same dual I d -V ds electrical tests while voluntarily "swapping" the S/D terminals unequivocally characterizes TFET operation, even compared to asymmetrically doped SBFETs.
- Published
- 2015
49. Static and Dynamic TCAD Analysis of IMOS Performance: From the Single Device to the Circuit
- Author
-
Laurent Clavelier, F. Mayer, Simon Deleonibus, C. Le Royer, and G. Le Carval
- Subjects
Materials science ,Subthreshold conduction ,business.industry ,Electrical engineering ,Silicon on insulator ,Biasing ,Ring oscillator ,Subthreshold slope ,Electronic, Optical and Magnetic Materials ,Impact ionization ,MOSFET ,Optoelectronics ,Inverter ,Electrical and Electronic Engineering ,business - Abstract
Impact ionization MOSFET (IMOS) is a device that enables to reach subthreshold slopes as small as 5 mV/dec. This device has an asymmetric doping profile, and only a fraction of the channel is covered by the gate. In the first part of this paper, the purpose is to investigate the impact of some geometrical parameters on the IMOS performance: the gate length, the intrinsic length, and the Si film thickness. This study simulates a p-IMOS device on silicon-on-insulator using ATLAS. It is pointed out that the increase of the ratio LG/LIN allows a drop of the bias voltage, but involves a degradation of the subthreshold slope. A thin Si film improves the overall device performance. In the second part, the performance of an IMOS-based inverter is investigated, and for the first time an IMOS ring oscillator is simulated
- Published
- 2006
50. Future challenges and opportunities for heterogeneous process technology. Towards the thin films, Zero Intrinsic Variability devices, Zero Power era
- Author
-
Louis Hutin, Simon Deleonibus, Herve Fanet, François Bertin, Thomas Ernst, S. Tedesco, Francois Andrieu, Sébastien Barnola, Perrine Batude, O. Cueto, A. Villalon, D. Gasparutto, Edith Beigne, Xavier Jehl, Raluca Tiron, Christophe Poulain, Thomas Signamarcheix, Julien Arcamone, Y. Lamy, David K. C. Cooper, G. Poupon, Fabrice Nemouchi, Nicolas Posseme, B. De Salvo, X. Baillin, M. Vinet, L. Perniola, Laurent Pain, M. Sanquer, E. Vianello, Olivier Weber, C. Le Royer, O. Faynot, L. DiCioccio, François Martin, Laurent Duraffourg, Hubert Moriceau, H. Okuno, and R. Salot
- Subjects
CMOS ,business.industry ,Computer science ,Electrical engineering ,Electronic engineering ,Process (computing) ,Linear scale ,Maximization ,Thin film ,business ,Power (physics) ,Zero (linguistics) ,Efficient energy use - Abstract
Linear scaling CMOS has encountered many hurdles which request new process modules, driven mainly by the maximization of energy efficiency. Fabrication at the sub 10nm node level will request Intrinsic Variability approaching to zero. The rapid growth of mobile, multifunctional and autonomous systems is hardly demanding to reach Zero Power consumption. The solutions to integrate Thin Film based devices, architectures and systems in order to face these challenges are described.
- Published
- 2014
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.