92 results on '"Atomic-Layer Deposition"'
Search Results
2. Insights on Asymmetrical Electrode Geometric Effect to Enhance Gate-Drain-Bias Stability of Vertical-Channel InGaZnO Thin-Film Transistor.
- Author
-
Lee, Dong-Hee, Kwon, Young-Ha, Seong, Nak-Jin, Choi, Kyu-Jeong, Yang, Jong-Heon, Hwang, Chi-Sun, and Yoon, Sung-Min
- Abstract
The asymmetrical gate-drain bias stress (GDBS) stability of a mesa-shaped vertical-channel thin-film transistors (VTFTs) was investigated using an In-Ga-Zn–O (IGZO) active layer prepared by atomic-layer deposition. The GDBS measurements were conducted with variations in electrode configurations and overlapped areas between the active and bottom electrode regions. The GDBS stability of the IGZO VTFTs was found to be significantly degraded, when a plasma-damaged electrode was used as the drain electrode, due to the formation of defective channel regions that are more susceptible to the hot carrier effect. To address the effect of plasma-damaged electrode, an ultrathin passivation layer was introduced, resulting in the achievement of VTFTs with excellent and uniform GDBS stability. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
3. Atomic-Layer Deposition of the Single-Atom Pt Catalyst on Vertical Graphene for H2 Sensing.
- Author
-
Liu, Bo, Han, Zhaojun, Bendavid, Avi, Martin, Philip J., Kumar, Priyank V., Haghshenas, Yousof, Alamri, Mohammed, and Wu, Judy Z.
- Abstract
Single-atom catalysts have the advantage of high chemical efficiency, which requires atomic-scale control during catalyst formation. In order to address this challenge, this work explores the synthesis of single-atom platinum (SA-Pt) catalysts using atomic-layer deposition (ALD) on vertical graphene (VG), in which a large number of graphene edges serve as energetically favorable nucleation sites for SA-Pt, as predicted by density functional theory calculations. Interestingly, SA-Pt has been achieved on VGs at low ALD cycle numbers of up to 60. With a further increase in the number of ALD cycles, an increasing number of Pt clusters with diameters <2 nm and Pt nanoparticles (NPs) with diameters >2 nm become dominant (nano-Pt @VG). This is in contrast to the observation of predominantly nano-Pt on other carbon nanostructures, such as carbon nanotubes and monolayer graphene, under the same ALD growth conditions, indicating that the edge states on VG indeed play a critical role in facilitating the formation of SA-Pt. Profound differences are revealed in a comparative study on H
2 sensing. SA-Pt exhibits both a higher sensitivity and faster response than its nano-Pt counterpart by more than an order of magnitude, illustrating the high catalytic efficiency of SA-Pt and its potential for gas sensing and a variety of other catalytic applications. [ABSTRACT FROM AUTHOR]- Published
- 2024
- Full Text
- View/download PDF
4. Morphology and Optical Characteristics of TiO2 Nanofilms Grown by Atomic-Layer Deposition on a Macroporous Silicon Substrate.
- Author
-
Turdaliev, T. K., Ashurov, K. B., and Ashurov, R. K.
- Subjects
- *
TITANIUM dioxide films , *SUBSTRATES (Materials science) , *SCANNING electron microscopy , *RAMAN spectroscopy , *ABSORPTION coefficients - Abstract
A process for creating a macroporous silicon substrate on which a layer of titanium dioxide was deposited using the atomic-layer deposition method is described. Electrochemical etching was used to form the macroporous structure of the substrate. TiO2 was deposited using an SI PEALD setup. The morphology, structure, and optical properties of the deposited TiO2 film were assessed using scanning electron microscopy coupled with energy-dispersive x-ray spectroscopy, spectral ellipsometry in the range 240–1000 nm, and Raman spectroscopy. Raman spectra revealed peaks at 144, 194, 397, and 639 cm–1 that were characteristic of the TiO2 anatase modification. The absorption coefficient and optical band gap width of the deposited film were determined based on the calculated ellipsometric parameters. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
5. Low temperature passivation of silicon surfaces for enhanced performance of Schottky-barrier MOSFET.
- Author
-
Molina-Reyes, Joel and Cuellar-Juarez, Adriana Mercedes
- Subjects
- *
SILICON surfaces , *SURFACE passivation , *SCHOTTKY barrier , *SEMICONDUCTOR junctions , *LOW temperatures , *METAL oxide semiconductor field-effect transistors , *INTEGRATED circuits - Abstract
By using a simple device architecture along with a simple process design and a low thermal-budget of a maximum of 100 °C for passivating metal/semiconductor interfaces, a Schottky barrier MOSFET device with a low subthreshold slope of 70 mV dec−1 could be developed. This device is enabled after passivation of the metal/silicon interface (found at the source/drain regions) with ultra-thin SiO x films, followed by the e-beam evaporation of high- quality aluminum and by using atomic-layer deposition for HfO2 as a gate oxide. All of these fabrication steps were designed in a sequential process so that a gate-last recipe could minimize the defect density at the aluminum/silicon and HfO2/silicon interfaces, thus preserving the Schottky barrier height and ultimately, the outstanding performance of the transistor. This device is fully integrated into silicon after standard CMOS-compatible processing, so that it could be easily adopted into front-end-of-line or even in back-end-of-line stages of an integrated circuit, where low thermal budget is required and where its functionality could be increased by developing additional and fast logic. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
6. Geometrical and Structural Design Schemes for Trench-Shaped Vertical Channel Transistors Using Atomic-Layer Deposited In-Ga-Zn-O.
- Author
-
Ahn, Hyun-Min, Moon, Seo-Hyun, Kwon, Young-Ha, Seong, Nak-Jin, Choi, Kyu-Jeong, Hwang, Chi-Sun, Yang, Jong-Heon, Kim, Yong-Hae, and Yoon, Sung-Min
- Subjects
STRUCTURAL design ,TRANSISTORS ,SURFACE preparation - Abstract
Trench-structured In-Ga-Zn-O vertical thin-film transistors (T-VTFT) was fabricated with a channel length of 400 nm. T-VTFTs showed channel width-dependent field-effect mobility owing to the back-channel scattering, and the mobility of 24.1 cm2 $/$ Vs was finally obtained with a channel width of $1 ~\mu \text{m}$. Alternatively, the asymmetric operations of conventional mesa-shaped VTFTs were improved in a symmetrical way owing to the structural benefits of the T-VTFT, leading to excellent immunity against the drain-induced barrier lowering. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
7. Combination of InZnO/InGaZnO Bi-layered channels prepared by atomic layer deposition and ozone-based gate-stack formation for guaranteeing high field-effect mobility and long-term stability of thin film transistors.
- Author
-
Moon, Seo-Hyun, Bae, Soo-Hyun, Kwon, Young-Ha, Seong, Nak-Jin, Choi, Kyu-Jeong, and Yoon, Sung-Min
- Abstract
Bi-layered channel structures comprising In–Zn–O (IZO) prompt and In–Ga–Zn-O (IGZO) prime layers were introduced to enhance the carrier mobility of oxide thin film transistors (TFTs) by atomic layer deposition (ALD) technique. Considering that hydrogen-related species in the gate stack may convert the semiconducting channel with In-rich composition into a more conducting layer during the ALD process, ozone oxidant was chosen for the formation of Al 2 O 3 protection and gate insulator layers. The TFT using a IZO/IGZO bi-layered channel exhibited the highest carrier mobility of 50.8 cm2/Vs when the film thickness and ALD sub-cyclic ratio between the In and Zn precursors for the IZO prompt layer were designed to be 3 nm and 2:1, respectively. The optimum cationic compositions of the IZO and IGZO layers were determined to be 1.3:1 (In:Zn) and 1.4:1:2 (In:Ga:Zn), respectively. The turn-on position of the fabricated device was located at near 0 V without additional heat treatment. Furthermore, the device using the optimum IZO/IGZO bi-layered channel exhibited threshold voltage shifts (ΔV TH) of +1.8 V under a positive bias stress (PBS) condition that corresponded to an improvement over a single IGZO channel device (+4.5 V). From the numerical analyses on the sub-gap states below the conduction band edge and trap densities in the mid-gap regions, it was found that the electron trapping sites especially located in deep-level states could be reduced by implementing a bilayer channel configuration. Alternatively, the ΔV TH further decreased to +1.3 V during the PBS at an elevated temperature of 80 °C because of the migration of hydrogen from the gate stack toward the active channel during the measurement, demonstrating the synergic impact of bi-layered channel structures and gate-stack formation conditions. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
8. Efficient Modulation of Electrocatalyst Interfaces by Atomic Layer Deposition: Fundamentals to Application
- Author
-
Fan Wu, Ling Zhou, Daying Guo, and Bin Xi
- Subjects
atomic-layer deposition ,electrocatalysis ,interface modulation ,Environmental technology. Sanitary engineering ,TD1-1066 ,Renewable energy sources ,TJ807-830 - Abstract
Under the scope of “carbon neutrality” and “emissions peak,” renewable energy technologies and systems relying on electrocatalytic reactions (e.g., oxygen evolution reaction (OER), oxygen reduction reaction (ORR), hydrogen evolution reaction (HER), and carbon dioxide reduction reaction (CO2RR)), though kinetically slow, are attractive. To promote the efficiency of such systems, engineering of the electrocatalyst interface is an effective strategy. In the fabrication toolbox for complex surface/interface, the advanced atomic‐layer deposition (ALD) technique is superior to conventional methods, evidenced by precise control of thickness, composition, uniformity, etc. This review summarizes recent developments in ALD implementation in a variety of electrocatalytic systems, especially the electrode interface preparation and modulation. Beyond all doubt, the introduction of the ALD process could dramatically increase the number of electrocatalytic‐active sites and hence improve the performance. However, its practical application in this field is open to deliberation, while process cost and complexity are in consideration.
- Published
- 2022
- Full Text
- View/download PDF
9. Impact of channel thickness on device scaling in vertical InGaZnO channel charge-trap memory transistors with ALD Al2O3 tunneling layer.
- Author
-
Cho, Yun-Ju, Kwon, Young-Ha, Seong, Nak-Jin, Choi, Kyu-Jeong, Hwang, Chi-Sun, and Yoon, Sung-Min
- Subjects
- *
METAL oxide semiconductor field-effect transistors , *TRANSISTORS , *X-ray photoelectron spectroscopy , *SEMICONDUCTOR storage devices , *ALUMINUM oxide , *MEMORY , *DENSITY of states - Abstract
This study investigates the impact of channel thickness (T CH) variation on memory performance and its physical origins in vertical channel charge trap memory (V-CTM) using InGaZnO (IGZO) channels for X–Y scaling. When the T CH decreased, the subthreshold slope (SS) increased from 0.21 to 0.30 V/dec, while the minimum program voltage decreased from 12 to 8 V, indicating a performance trade-off. The analysis of bulk trap density extracted by SS, density of states distribution, and x-ray photoelectron spectroscopy confirmed an increase in the number of trap states and hydrogen-related defects in the IGZO channel when a thin T CH is employed. As a result, it was expected that the barrier height at the active layer/TL would be lowered during program operations at relatively thin channel. However, the SS would also be degraded due to an increase in sub-gap states, such as OH- and V O H, induced by hydrogen incorporation. The V-CTM with an optimal T CH of 7 nm had a maximum memory window of 5.7 V. The program/erase states were maintained for 106 s before experiencing a 50 % charge loss, and 5-level memory states were verified to be available within a memory window of 3.2 V for 108 s with little degradation. It was noteworthy that the long-term reliability and multilevel implementation could be secured for the V-CTM with a T CH as thin as 7 nm, demonstrating the sound feasibility of its X–Y scaling. This work presents new key parameters and understanding of device integration issues for future 3D structured memory transistors, and aims to contribute to the advancement of memory technologies using oxide semiconductor channels. • We fabricated a vertical channel charge trap memory with IGZO channel below 150 nm. • Channel thickness was modulated for X–Y scaling in the vertical channel structure. • Channel thickness control affected subthreshold swing and memory operation behavior. • In-depth analysis showed a correlation between channel thickness and hydrogen doping. • We propose insight for improving integration in oxide semiconductor channel memories. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
10. Low-Temperature Atomic Layer Deposited Oxide on Titanium Nitride Electrodes Enables Culture and Physiological Recording of Electrogenic Cells
- Author
-
Michele Dollt, Miriam Reh, Michael Metzger, Gerhard Heusel, Martin Kriebel, Volker Bucher, and Günther Zeck
- Subjects
atomic-layer deposition ,microelectrode array ,neuron ,cardiomyocyte ,action potential ,CMOS MEA ,Neurosciences. Biological psychiatry. Neuropsychiatry ,RC321-571 - Abstract
The performance of electrode arrays insulated by low-temperature atomic layer deposited (ALD) titanium dioxide (TiO2) or hafnium dioxide (HfO2) for culture of electrogenic cells and for recording of extracellular action potentials is investigated. If successful, such insulation may be considered to increase the stability of future neural implants. Here, insulation of titanium nitride electrodes of microelectrode arrays (MEAs) was performed using ALD of nanometer-sized TiO2 or hafnium oxide at low temperatures (100–200°C). The electrode properties, impedance, and leakage current were measured and compared. Although electrode insulation using ALD oxides increased the electrode impedance, it did not prevent stable, physiological recordings of electrical activity from electrogenic cells (cardiomyocytes and neurons). The insulation quality, estimated from leakage current measurements, was less than 100 nA/cm2 in a range of 3 V. Cardiomyocytes were successfully cultured and recorded after 5 days on the insulated MEAs with signal shapes similar to the recordings obtained using uncoated electrodes. Light-induced electrical activity of retinal ganglion cells was recorded using a complementary metal-oxide semiconductor-based MEA insulated with HfO2 without driving the recording electrode into saturation. The presented results demonstrate that low-temperature ALD-deposited TiO2 and hafnium oxide are biocompatible and biostable and enable physiological recordings. Our results indicate that nanometer-sized ALD insulation can be used to protect electrodes for long-term biological applications.
- Published
- 2020
- Full Text
- View/download PDF
11. Low-Temperature Atomic Layer Deposited Oxide on Titanium Nitride Electrodes Enables Culture and Physiological Recording of Electrogenic Cells.
- Author
-
Dollt, Michele, Reh, Miriam, Metzger, Michael, Heusel, Gerhard, Kriebel, Martin, Bucher, Volker, and Zeck, Günther
- Subjects
TITANIUM nitride ,TITANIUM oxides ,RETINAL ganglion cells ,ELECTRODE performance ,ELECTRODES ,HEART cells - Abstract
The performance of electrode arrays insulated by low-temperature atomic layer deposited (ALD) titanium dioxide (TiO
2 ) or hafnium dioxide (HfO2 ) for culture of electrogenic cells and for recording of extracellular action potentials is investigated. If successful, such insulation may be considered to increase the stability of future neural implants. Here, insulation of titanium nitride electrodes of microelectrode arrays (MEAs) was performed using ALD of nanometer-sized TiO2 or hafnium oxide at low temperatures (100–200°C). The electrode properties, impedance, and leakage current were measured and compared. Although electrode insulation using ALD oxides increased the electrode impedance, it did not prevent stable, physiological recordings of electrical activity from electrogenic cells (cardiomyocytes and neurons). The insulation quality, estimated from leakage current measurements, was less than 100 nA/cm2 in a range of 3 V. Cardiomyocytes were successfully cultured and recorded after 5 days on the insulated MEAs with signal shapes similar to the recordings obtained using uncoated electrodes. Light-induced electrical activity of retinal ganglion cells was recorded using a complementary metal-oxide semiconductor-based MEA insulated with HfO2 without driving the recording electrode into saturation. The presented results demonstrate that low-temperature ALD-deposited TiO2 and hafnium oxide are biocompatible and biostable and enable physiological recordings. Our results indicate that nanometer-sized ALD insulation can be used to protect electrodes for long-term biological applications. [ABSTRACT FROM AUTHOR]- Published
- 2020
- Full Text
- View/download PDF
12. Fully Transparent Transceiver Using Single Binary Oxide Thin Film Transistors.
- Author
-
Hassan, Ali H., Hota, Mrinal K., Alshammari, Fwzah H., Alshareef, Husam N., and Salama, Khaled N.
- Subjects
THIN film transistors ,OXIDE coating ,FREQUENCY shift keying ,ATOMIC layer deposition ,THRESHOLD voltage ,MORSE code - Abstract
Using one binary oxide, a fully transparent thin‐film transistor (TFT)‐based transceiver circuit is presented. The proposed transceiver circuit is fabricated entirely using an atomic layer deposition process. Moreover, the proposed circuit presents two modulation schemes: frequency shift keying and ON/OFF keying. The fabricated TFTs exhibit saturation mobility, threshold voltage, a subthreshold swing, and an ON/OFF ratio of 18.2 cm2 V−1 s−1, 0.9 V, 419 mVdec−1, and 109 times, respectively. Finally, the circuit functionality is demonstrated by the word "KAUST" as a Morse code. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
13. Utilizing hydrolysis resistance of compressed Li3PS4 films to eradicate surface hydroxyls and form conformal coatings through atomic layer deposition.
- Author
-
Qiao, Ronghan, Yu, Hailong, Ben, Liubin, Tian, Mengyu, Shen, Xiaoyu, Cen, Guanjun, Zhu, Jing, Wang, Qiyu, Zhao, Wenwu, Zhang, Jianru, and Huang, Xuejie
- Subjects
- *
ATOMIC layer deposition , *CONFORMAL coatings , *SURFACE coatings , *ALUMINUM oxide , *SURFACE cracks , *IONIC conductivity - Abstract
[Display omitted] • Compressed Li 3 PS 4 films demonstrate significant resistance to hydrolysis during exposure to an industrial-type dry room. • The infiltration of surface-absorbed hydroxyl groups into the Li 3 PS 4 films is a primary cause of electrolyte failure. • Atomic layer deposition (ALD) effectively eliminates surface-absorbed hydroxyl groups and surface cracks. • ALD coated Li 3 PS 4 films show improved resistance to dry room conditions while maintaining their original ionic conductivity. The susceptibility of sulfide solid electrolytes (SSEs) to rapid hydrolysis upon exposure to moist air significantly hinders their application, underscoring the necessity for effective protective strategies. This study countered initial expectations by demonstrating that Li 3 PS 4 (LPS) films compressed at 400 MPa and subjected to an industrial-type dry room environment (4 % relative humidity at room temperature) for a specific period (e.g., 2 h) exhibit limited surface hydrolysis. This phenomenon was accompanied by a substantial accumulation of hydroxyls from hydration, predominantly affecting the topmost 100 nm of the film surface. Furthermore, upon prolonged exposure in a dry room, these surface hydroxyls were observed to progressively penetrate deeper into the films through inevitable surface cracks, thereby exacerbating hydrolysis and leading to the dislocation of the compressed LPS films. These findings indicate that these detrimental hydroxyls can be effectively harnessed as reactants in atomic layer deposition (ALD) with trimethylaluminum. This ALD technique successfully achieved two key objectives: the thorough removal of hydroxyl groups through the ALD coating process and the simultaneous creation of conformal Al 2 O 3 layers on the surface and in cracks, which significantly enhanced the surface robustness of the compressed LPS films. Further experimental examination revealed that precise control over the thickness of the ALD-applied Al 2 O 3 layer, particularly a 30-nm coating, substantially improved the air stability of the LPS films while concurrently maintaining their ionic conductivity. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
14. Impact of Al2O3 spacers on the improvement in short-channel effects for the mesa-shaped vertical-channel In-Ga-Zn-O thin-film transistors with a channel length below 100 nm.
- Author
-
Oh, Chae-Eun, Kwon, Young-Ha, Seong, Nak-Jin, Choi, Kyu-Jeong, and Yoon, Sung-Min
- Subjects
- *
ALUMINUM oxide , *ATOMIC layer deposition , *TRANSISTORS , *INDIUM gallium zinc oxide - Abstract
To achieve a channel length scaling and an improvement in short-channel effect (SCE) for the mesa-shaped vertical thin-film transistors (VTFTs) using In-Ga-Zn-O (IGZO) channels prepared by atomic layer deposition (ALD), the impacts of two strategic approaches were investigated: the introduction of an ALD Al 2 O 3 spacer and the modulation of ALD oxidants. The Al 2 O 3 spacers were patterned with sound profiles by means of a hybrid-etching technique combining a wet- and plasma dry-etching processes to form vertical sidewalls corresponding to a channel length. For the cases when the Al 2 O 3 spacers were prepared with H 2 O oxidant, the IGZO VTFT with an In/Ga ratio of 1.7 showed the degradations in device characteristics including a turn-on voltage (V ON) of −8.0 V and a subthreshold swing (SS) of 1.50 V/dec. When the In/Ga ratio was increased to 2.9, the device failed to be operated with conductive properties. The excess hydrogen contained within the Al 2 O 3 spacer was suggested to be thermally diffused into the IGZO from the back-channel interface, leading to marked increase in the number of conduction carriers within the channel. To suppress the doping effect of hydrogen from the spacer, the ALD oxidant was strategically modulated to O 3. As results, the V ON and SS of the IGZO VTFT were markedly improved to be −2.0 V and 0.483 V/dec, respectively. Additionally, the drain induced barrier lowering coefficient was also significantly enhanced from 2.9 to 0.13 V/V, demonstrating the excellent immunity against the SCE for the IGZO VTFTs with nanoscale channel lengths. It was concluded from these findings that the spacer engineering can be one of the most important guides for the implementation of IGZO VTFTs with channel lengths below 100 nm. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
15. Magnetron sputter deposition of Ta2O5-SiO2 quantized nanolaminates
- Author
-
Schwyn Thöny, S., Bärtschi, M., Batzer, M., Baselgia, M., Waldner, S., Steinecke, M., Badorreck, H., Wienke, A., Jupé, M., Schwyn Thöny, S., Bärtschi, M., Batzer, M., Baselgia, M., Waldner, S., Steinecke, M., Badorreck, H., Wienke, A., and Jupé, M.
- Abstract
Quantized nanolaminates are a type of optical metamaterials, which were discovered only recently. Their feasibility was demonstrated by atomic layer deposition and ion beam sputtering so far. In this paper, we will report on the successful magnetron sputter deposition of quantized nanolaminates based on Ta2O5-SiO2. We will describe the deposition process, show results and material characterization of films deposited in a very wide parameter range. Furthermore, we will show how quantized nanolaminates deposited by magnetron sputtering were used in optical interference coatings such as antireflection and mirror coatings.
- Published
- 2023
16. Effect of the Surface of Medical Titanium Endoprostheses on the Efficiency of Fibrointegration.
- Author
-
Shaikhaliyev, A. I., Polisan, A. A., Ivanov, S. Yu., Parkhomenko, Yu. N., Malinkovich, M. D., Yarygin, K. N., and Arazashvili, L. D.
- Abstract
Titanium alloys approved for clinical application are used to manufacture various endoprostheses. Engraftment of the implant in bone tissue (osseointegration) is characterized by direct contact and functional connection between the implant and the bone tissue. The process of implant engraftment in soft tissue is characterized by fibrointegration, i.e., interaction between the endoprosthesis material and soft tissue; as a result, connective tissue having a fibrous structure is formed on the endoprosthesis surface. The process of the engraftment of titanium implants greatly depends on the properties of the implant surface; therefore, to improve the efficiency of fibrointegration, various methods for modifying the implant surface are designed to impart them with the necessary biomedical properties. Implants with a polished surface, with surfaces of varying degrees of roughness, as well as those coated with titanium dioxide with the anatase structure are considered. The use of atomic force microscopy, scanning electron microscopy, and profilometry in studies of mesenchymal stem-cell adhesion and in vitro studies of implants with differently treated surface, which were embedded into the soft tissues of experimental animals, made it possible to determine the requirements for the optimal surface treatment of titanium implants used in maxillofacial surgery. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
17. Domain epitaxy of crystalline BeO films on GaN and ZnO substrates.
- Author
-
Lee, Seung Min, Yum, Jung Hwan, Larsen, Eric S., Shervin, Shahab, Wang, Weijie, Ryou, Jae‐Hyun, Bielawski, Christopher W., Lee, Woo Chul, Kim, Seong Keun, and Oh, Jungwoo
- Subjects
- *
ZINC oxide films , *ZINC oxide , *ATOMIC layer deposition , *EPITAXY , *THIN films , *TRANSMISSION electron microscopy - Abstract
We demonstrated the growth of wurtzite‐crystalline beryllium oxide (BeO) thin films on GaN and ZnO substrates using atomic layer deposition (ALD). Single‐crystalline BeO were epitaxially grown on GaN. Despite the inherently large lattice mismatch of BeO and GaN atoms, the 6/5 and 7/6 domain‐matched structures dramatically reduced the residual strain in BeO thin films. On the other hand, the lattice mismatch of BeO and ZnO was not effectively accommodated in the mixed domains. X‐ray diffraction (XRD) confirmed the in‐plane crystallization of BeO‐on‐substrates in the (002){102}BeO||(002){102}Sub orientation and relaxation degrees of 20.8% (GaN), 100% (ZnO). The theoretical critical thicknesses of BeO for strain relaxation were 2.2 μm (GaN) and 1.6 nm (ZnO), calculated using a total film energy model. Transmission electron microscopy (TEM) and Fourier‐filtered imaging supported the bonding configuration and crystallinity of wurtzite BeO thin films on GaN and ZnO substrates. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
18. Study of photoconvertion heterojunction n-GaP/p-Si obtained by PE-ALD
- Author
-
Kiianitsyn, Sergey, Gudovskikh, Alexander, Uvarov, Alexander, Maksimova, Alina, Vyacheslavova, Ekaterina, and Baranov, Artem
- Subjects
solar cell ,admittance spectroscopy ,солнечный элемент ,atomic-layer deposition ,GaP/Si гетеропереход ,атомно-слоевое осаждение ,спектроскопия полной проводимости ,GaP/Si heterojunction - Abstract
Plasma-enhanced atomic layer deposition is an attractive method for producing n-GaP layers at low temperatures on p-Si wafers for further photovoltaic application of n-GaP/p-Si heterostructures. In this study, we explore the influence of growth conditions on the electrophysical quality of thin n-GaP layers. It was established from admittance spectroscopy and current-voltage characteristics that the activation energy of conductivity in GaP decreases from 0.08 eV to 0.04 eV, with an increase in phosphine flow during the phosphorous step, and a subsequent drop to an extremely low value (< 0.02 eV) when additional flow of silane was added. This leads to extreme improve photovoltaic performance of the ITO/n-GaP/p-Si sample due to suppression of inflection on the I–V curve leading to an increase in the short-circuit current and the fill factor. Fruthermore, a deep level with the activation energies ranging from 0.50 to 0.55 eV and the capture cross-section σT = (1–10)·10–16 cm2 was detected in all layers., Атомно-слоевое плазменно-стимулированное осаждение является одним из перспективных методов для формирование n-GaP слоев при низких температурах на подложках p-Si для последующего использования в качестве фотопреобразовательных структур гетероперехода n-GaP/p-Si. В данной работе, было исследовано влияние остовых параметров на электрофизические свойства n-GaP. Согласно измерениям спектроскопии полной проводимости и вольт-амперных характеристик показано, что энергия активации проводимости в GaP слое уменьшается с 0.08 эВ до 0.04 эВ с увеличением потока фосфина и времени его взаимодействия с подложкой во время шага осаждения фосфора, а при добавлении дополнительного потока силана на шаге осаждения фосфора она значительно уменьшается и становится меньше 0.02 эВ. Это приводит к значительному улучшению производительности солнечного элемента ITO/n-GaP/p-Si вследствие уменьшения перегиба на ВАХ, что приводит к увеличению тока короткого замыкания и фактора заполнения. Кроме того, во всех образцах был обнаружен глубокий дефектный уровень с энергией активации Ea = 0.50–0.55 eV и сечением захвата σT = (1–10)·10-16 cm2.
- Published
- 2023
- Full Text
- View/download PDF
19. Conformal noble metal high-entropy alloy nanofilms by atomic layer deposition for an enhanced hydrogen evolution reaction
- Author
-
Lin Jing, Yiming Zou, Ronn Goei, Leyan Wang, Jiamin Amanda Ong, Anatoli Kurkin, Yun Li, Kwan W. Tan, Alfred Iing Yoong Tok, and School of Materials Science and Engineering
- Subjects
Alloy Thin Films ,Materials [Engineering] ,Atomic-Layer Deposition ,Electrochemistry ,General Materials Science ,Surfaces and Interfaces ,Condensed Matter Physics ,Spectroscopy - Abstract
The current synthesis methods of high-entropy alloy (HEA) thin-film coatings face huge challenges in facile preparation, precise thickness control, conformal integration, and affordability. These challenges are more specific and noteworthy for noble metal-based HEA thin films where the conventional sputtering methods encounter thickness control and high-cost issues (high-purity noble metal targets required). Herein, for the first time, we report a facile and controllable synthesis process of quinary HEA coatings consisting of noble metals (Rh, Ru, Pt, Pd, and Ir), by sequential atomic layer deposition (ALD) coupled with electrical Joule heating for post-alloying. Furthermore, the resulting quinary HEA thin film with a thickness of ∼50 nm and an atomic ratio of 20:15:21:18:27 shows promising potential as a platform for catalysis, exhibiting enhanced electrocatalytic hydrogen evolution reaction (HER) performances with lower overpotentials (e.g., from 85 to 58 mV in 0.5 M H2SO4) and higher stability (by retaining more than 92% of the initial current after 20 h with a current density of 10 mA/cm2 in 0.5 M H2SO4) than other noble metal-based structure counterparts in this work. The enhanced material properties and device performances are attributed to the efficient electron transfer of HEA with the increased number of active sites. This work not only presents RhRuPtPdIr HEA thin films as promising HER catalysts but also sheds light on controllable fabrication of conformal HEA-coated complex structures toward a broad range of applications. Agency for Science, Technology and Research (A*STAR) Ministry of Education (MOE) This work wassupported by the Agency for Science, Technology and Research (A*STAR) AME Individual Research Grant (IRG) A1983c0032. K.W.T. and L.W. acknowledge financial support from the Singapore Ministry of Education AcRF Tier 2 grant (MOET2EP 50221-0017).
- Published
- 2023
20. Inverse single-site Fe1(OH)X/Pt(111) model catalyst for preferential oxidation of CO in H2
- Author
-
Wang, Chunlei, Tissot, Heloise, Soldemo, M., Lu, J., Weissenrieder, Jonas, Wang, Chunlei, Tissot, Heloise, Soldemo, M., Lu, J., and Weissenrieder, Jonas
- Abstract
Inverse oxide/metal model systems are frequently used to investigate catalytic structure-function relationships at an atomic level. By means of a novel atomic layer deposition process, growth of single-site Fe1Ox on a Pt(111) single crystal surface was achieved, as confirmed by scanning tunneling microscopy (STM). The redox properties of the catalyst were characterized by synchrotron radiation based ambient pressure X-ray photoelectron spectroscopy (AP-XPS). After calcination treatment at 373 K in 1 mbar O2 the chemical state of the catalyst was determined as Fe3+. Reduction in 1 mbar H2 at 373 K demonstrates a facile reduction to Fe2+ and complete hydroxylation at significantly lower temperatures than what has been reported for iron oxide nanoparticles. At reaction conditions relevant for preferential oxidation of CO in H2 (PROX), the catalyst exhibits a Fe3+ state (ferric hydroxide) at 298 K while re-oxidation of iron oxide clusters does not occur under the same condition. CO oxidation proceeds on the single-site Fe1(OH)3 through a mechanism including the loss of hydroxyl groups in the temperature range of 373 to 473 K, but no reaction is observed on iron oxide clusters. The results highlight the high flexibility of the single iron atom catalyst in switching oxidation states, not observed for iron oxide nanoparticles under similar reaction conditions, which may indicate a higher intrinsic activity of such single interfacial sites than the conventional metal-oxide interfaces. In summary, our findings of the redox properties on inverse single-site iron oxide model catalyst may provide new insights into applied Fe-Pt catalysis. [Figure not available: see fulltext.], QC 20220504
- Published
- 2022
- Full Text
- View/download PDF
21. Piezoresistive property of an aluminum-doped zinc oxide thin film deposited via atomic-layer deposition for microelectromechanical system/nanoelectromenchanical system applications.
- Author
-
Inomata, Naoki, Van Toan, Nguyen, and Ono, Takahito
- Subjects
- *
THIN films , *ZINC oxide , *MICROELECTROMECHANICAL systems , *ALUMINUM , *DOPING agents (Chemistry) , *FINITE element method - Abstract
The piezoresistive property of an aluminum (Al)-doped zinc oxide (AZO) thin film (thickness = 20 nm) is evaluated using a microfabricated silicon cantilever structure. The AZO thin film is deposited via atomic-layer deposition with 5% Al doping. An AZO piezoresistor is patterned at the root of the cantilever. This cantilever device is fabricated using conventional microfabrication techniques such as lithography, lift-off, ion milling, and dry/wet etching. The cantilever is deflected by pressing the tip of the cantilever by a needle mounted on a micromanipulator. The strain of the AZO pattern caused by the deflection is numerically calculated using a finite element method based on the dimensions and materials of the fabricated device. The output current of the AZO changes almost linearly with increase in the input voltage. The gauge factor of the AZO thin-film piezoresistor is found to be 8.5. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
22. Atomic-Layer-Deposited ZnO on Carbon Black as High-Performance Catalysts for the Thermal Decomposition of Ammonium Perchlorate.
- Author
-
Wang, Jingfeng, Li, Yang, Wang, Huanhuan, Tian, Tian, Zhu, Shanxu, Zhou, Jia, Wu, Xiaohong, and Qin, Wei
- Subjects
- *
ATOMIC layer deposition , *ZINC oxide , *CARBON-black , *CATALYSTS , *AMMONIUM perchlorate , *CHARGE exchange - Abstract
Hybrid materials with ZnO anchored on carbon black (CB) are fabricated by using the atomic-layer deposition (ALD) technique. The oxygen-containing functional groups on the surface of the CB act as the reactive sites to facilitate stable deposition of ZnO, and further create a unique hybrid structure with a C-O-Zn bond between CB and ZnO. The catalytic performance of the ZnO/CB hybrids for thermal decomposition of ammonium perchlorate (AP, NH4ClO4) is investigated, showing that two exothermic peaks are merged into one and the peak temperature decreases from 432 °C to 295 °C, even lower than that of ZnO (311 °C). The superior catalytic activity of ZnO/CB hybrids is attributed to the fast electron transfer from ZnO to CB and restricted electron recombination in the hybrids, which significantly benefits from the C-O-Zn bond fabricated by the ALD process. The present study provides a new insight into the catalytic mechanism of metal oxide/carbon hybrids, showing promise for catalyst and reaction accelerator applications. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
23. Recent studies of oxide-semiconductor heterostructures using aberration-corrected scanning transmission electron microscopy.
- Author
-
Smith, David J., Wu, HsinWei, Lu, Sirong, Aoki, Toshihiro, Ponath, Patrick, Fredrickson, Kurt, McDaniel, Martin D., Lin, Edward, Posadas, Agham B., Demkov, Alexander A., Ekerdt, John, and McCartney, Martha R.
- Subjects
SEMICONDUCTORS ,SCANNING transmission electron microscopy ,CRYSTALLINITY ,EPITAXY ,PEROVSKITE - Abstract
The integration of dissimilar materials is highly desirable for many different types of device applications but often challenging to achieve in practice. The unrivalled imaging capabilities of the aberration-corrected electron microscope enable enhanced insights to be gained into the atomic arrangements across heterostructured interfaces. This paper provides an overview of our recent observations of oxide-semiconductor heterostructures using aberration-corrected high-angle annular-dark-field and large-angle bright-field imaging modes. The perovskite oxides studied include strontium titanate, barium titanate, and strontium hafnate, which were grown on Si(001) and/or Ge(001) substrates using the techniques of molecular-beam epitaxy or atomic-layer deposition. The oxide layers displayed excellent crystallinity and sharp, abrupt interfaces were observed with no sign of any amorphous interfacial layers. The Ge(001) substrate surfaces invariably showed both 1× and 2× periodicity consistent with preservation of the 2 × 1 surface reconstruction following oxide growth. Overall, the results augur well for the future development of functional oxide-based devices integrated on semiconductor substrates. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
24. Investigation of O3-Al2O3/H2O-Al2O3 dielectric bilayer deposited by atomic-layer deposition for GaN MOS capacitors.
- Author
-
Shen, Zhen, He, Liang, Zhou, Guilin, Yao, Yao, Yang, Fan, Ni, Yiqiang, Zheng, Yue, Zhou, Deqiu, Ao, Jinping, Zhang, Baijun, and Liu, Yang
- Subjects
- *
ELECTRIC capacity , *OXIDIZING agents , *CURRENT density (Electromagnetism) , *ELECTRIC currents , *STRAY currents - Abstract
In this work, H2O-Al2O3/O3-Al2O3 insulating bilayers were grown on GaN by atomic-layer deposition (ALD) technique using H2O vapor and O3 as oxidants. The electrical and material properties show that the H2O-Al2O3/O3-Al2O3 stack structure appeared to be an appropriate dielectric for GaN MOS devices that had low leakage current densities, high breakdown voltages, and good capacitance-voltage ( C-V) curves. The H2O-Al2O3 interlayer between the O3-Al2O3 and GaN efficiently prevented the GaN surface from oxidizing by ozone oxidant by its strong oxidizing power. By taking photo-assisted C-V measurements, it was found that the deep interface state densities at the Al2O3/GaN interface reduced, while increasing the thicknesses of the H2O-Al2O3 interlayer restricted the 'Vth shift' phenomenon and improved the stability and reliability of the GaN MOS devices. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
25. Second-Harmonic Generation from ZnO/Al2O3 Nanolaminate Optical Metamaterials Grown by Atomic-Layer Deposition.
- Author
-
Wickberg, Andreas, Kieninger, Clemens, Sürgers, Christoph, Schlabach, Sabine, Mu, Xiaoke, Koos, Christian, and Wegener, Martin
- Published
- 2016
- Full Text
- View/download PDF
26. Thermal Treatment Effects on Flat-band Voltage Shift in Atomic-layer-deposited Alumina or Aluminum Oxide/Silicon Nitride Passivation Stacks.
- Author
-
Joonwichien, Supawan, Simayi, Shalamujiang, Shirasawa, Katsuhiko, Tanahashi, Katsuto, and Takato, Hidetaka
- Abstract
We studied the effects of thermal annealing on the interfacial properties of atomic-layer-deposited alumina (Al 2 O 3 ) films and aluminum oxide/silicon nitride (AlO x /SiN x ) stacks on silicon. Thermal treatment was found to have a significant effect on the lifetime improvement, owing to full activation of field-effect passivation, which can be realized with an increased flat-band voltage ( V fb ). The presence of a capping SiN x layer itself and/or during deposition is suspected to cause distribution of unstable negatively charged traps in the AlO x /SiN x stacks, resulting in a slight reduction in lifetime with inversely improved V fb . The interface trap density, an indicator of chemical passivation, remains at the same order of magnitude after thermal treatment and SiN x deposition, suggesting that atomic-layer deposition induces a high passivation quality. These results suggest that consideration of thermal-annealing effects and SiN x deposition conditions are crucial for improving the quality of passivation stacks at the rear of passivated emitter rear contact (PERC) cells and, consequently, the high performance of PERC cells. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
27. Improvement in energy consumption and operational stability of electrolyte-gated synapse transistors using atomic-layer-deposited HfO2 thin films.
- Author
-
Kim, Dong-Hee and Yoon, Sung-Min
- Subjects
- *
INHIBITORY postsynaptic potential , *THIN films , *ENERGY consumption , *ELECTRIC double layer , *DIELECTRIC thin films , *SYNAPSES , *THIN film transistors , *TRANSISTORS - Abstract
Electrolyte-gated transistors (EGTs) are promising candidates for artificial synaptic devices, due to lower energy consumption by forming the electric double layer to modulate the channel conductance. In this work, we propose a three-terminal artificial synapse transistor employing HfO 2 electrolyte-gate insulator (EGI). The inorganic HfO 2 thin film with high dielectric constant was chosen as a good candidate of an EGI to improve the operational stability and energy consumption for the synapse transistor. Mobile hydrogen ions incorporated in the HfO 2 EGI were revealed to be generated during the ALD process at a temperature as low as 100 °C. The synaptic plasticity of the fabricated synapse transistor could be gradually modulated by the movement of mobile ions in the EGI, which were examined to be dependent on the applied pulse conditions. The fabricated synapse transistor emulated biological synaptic functions, including excitatory/inhibitory post-synaptic current (EPSC/IPSC) and paired-pulse facilitation/depression (PPF/PPD). Furthermore, by controlling the pulse spike conditions, the conversion from short-term plasticity (STP) to long-term plasticity (LTP) was successfully verified. The LTP characteristics were demonstrated to be far enhanced with the EGI film thickness by means of magnifying the amounts of incorporated mobile ions. In addition, the long-term reliability and operational repeatability of the fabricated synapse transistor were well verified thanks to the choice of HfO 2 EGI. The energy consumption required for single spike event was estimated to be a value as low as 0.26 pJ, thus demonstrating considerable potential as an artificial synapse device. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
28. Structural, chemical and electrical properties of ALD-grown Hf xAl1- xO y thin films for MIM capacitors.
- Author
-
Chernikova, A. G., Markeev, A. M., Lebedinskii, Yu. Yu., Kozodaev, M. G., and Zablotskiy, A. V.
- Subjects
- *
MIM capacitors , *THIN films , *METAL-insulator-metal devices , *SOLID state electronics , *X-ray diffraction - Abstract
In this paper atomic-layer deposition (ALD) Hf xAl1- xO y thin films with a wide range of metal components concentrations ( x = 0.2−0.8) for metal-insulator-metal (MIM) capacitors were investigated with emphasis on the chemical properties and phase composition. It was shown by X-ray diffraction (XRD) that all films are amorphous. X-ray photoelectron spectroscopy (XPS) revealed the same energy position with respect to the valence-band maximum of Al2p and Hf4f lines and a continuous shift of O1s and O-KLL lines toward the higher binding energy (0.45 and 2.2 eV, respectively) with increase of Al content. Both the shape and position of O1s and O-KLL lines indicated the inability to deconvolute it on sublines related to the binary oxides. The calculated modified Auger parameter indicated the change of the ionicity of the bonding in Hf xAl1- xO y films with change of the composition. Thus, it was proposed that Hf xAl1- xO y films in all the studied composition range represent amorphous solid solutions. The continuous change of energy bandgap measured by reflected electron energy loss spectroscopy (REELS) from ∼6.5 eV for Al2O3 to ∼5.4 eV for HfO2 and XRD data do not contradict this assumption. Correlation between structural properties of Hf xAl1- xO y films and electrical properties of MIM capacitors based on them was also shown, that is, continuous change in capacitance density (from 3.1 to 7.4fF/µm2), leakage current density and quadratic voltage coefficient of capacitance with composition change was observed. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
29. Complementary and bipolar regimes of resistive switching in TiN/HfO2/TiN stacks grown by atomic-layer deposition.
- Author
-
Egorov, K. V., Kirtaev, R. V., Lebedinskii, Yu. Yu., Markeev, A. M., Matveyev, Yu. A., Orlov, O. M., Zablotskiy, A. V., and Zenkevich, A. V.
- Subjects
- *
ATOMIC layer deposition , *NONVOLATILE random-access memory , *X-ray photoelectron spectroscopy , *ELECTROFORMING , *METAL insulator semiconductors - Abstract
Atomic-layer deposition (ALD) technique in combination with in vacuo X-ray photoelectron spectroscopy (XPS) analysis has been successfully employed to obtain fully ALD-grown planar TiN/HfO2/TiN metal-insulator-metal structures for resistive random access memory (ReRAM) memory elements. In vacuo XPS analysis of ALD-grown TiN/HfO2/TiN stacks reveals the presence of the ultrathin oxidized layers consisting of TiON (∼0.5 nm) and TiO2 (∼0.6 nm) at the bottom TiN/HfO2 interface (i); the nonoxidized TiN at the top HfO2/TiN interface (ii); the oxygen deficiency in the HfO2 layer does not exceed the XPS detection limit (iii). Electroformed ALD TiN/HfO2/TiN stacks reveal both conventional bipolar and complementary types of resistive switching. In the complementary resistive switching regime, each programming sequence is terminated by a reset operation, leaving the TiN/HfO2/TiN stack in a high-resistance state. The observed feature can avoid detrimental leaky paths during successive reading operation, which is useful in the passive ReRAM arrays without a selector element. The bipolar regime of resistive switching is found to reveal the gradual character of the SET and RESET switching processes. Long-term potentiation and depression tests performed on ALD-grown TiN/HfO2/TiN stacks indicate that they can be used as electronic synapse devices for the implementation of emerging neuromorphic computation systems. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
30. Designing quantum dot solids for optoelectronic devices through matrix engineering
- Author
-
Tolentino, Jason
- Subjects
Materials Science ,atomic-layer deposition ,field-effect transistors ,quantum dots ,solar cells - Abstract
Colloidal quantum dot (CQD) solids represent a class of materials that allows one to control the optical and electronic properties due to their unique size-dependent properties with special electronic and optoelectronic device applications. Unfortunately, integration of these materials into high performing devices such as transistors and solar cells have been challenging due to: 1) uncontrolled environmental stability 2) lack of accurate control over charge carrier type and mobility 3) poor device operational stability and 4) limited experimental methods to probe the density of states in these materials in order to understand fundamental electronic and optical properties. In this thesis, we demonstrate the ability to stabilize and improve the environmental stability of these materials with amorphous Al2O3 (a-alumina). More importantly, we can accurately engineer the carrier type and mobility by varying the thickness of the alumina. Through a combination of small, compact inorganic ligands and the ability to passivate surface electronic traps, air-stable, high electron mobility PbSe QD field-effect transistors (FET) are obtained. We then show that we can also improve transistor device operational stability through an in-vacuo ligand exchange with H2S gas introduced in an atomic layer deposition (ALD) chamber. We find that this method is universal when volatile ligands are used. Possible mechanisms for device instability will be discussed such as proton migration and trap passivation. Using an optimized film preparation, this work will be the first demonstration of a QD FET with an electron mobility greater than 10 cm2 V-1 s-1 that is also operationally stable. Finally, we introduce a unique transmission spectroscopy technique of field-effect transistors to electrostatically probe induced charge carriers in PbSe QD films. With this technique we resolve occupation of quantized states of the quantum dots rather than the matrix or interfacial states. This platform is used to test fundamental transport models as it relates to disordered semiconductors such as QDs. From this technique, we can draw important conclusions about charge transport at room temperature. This novel experimental method can be extended to other experimental setups such as photoluminescence and photoconductivity in order to understand how to rationally improve the electronic properties of QD films.
- Published
- 2015
31. Energy band offsets of BeO dielectrics grown via atomic-layer deposition on β-Ga2O3 substrates.
- Author
-
Jung, Dohwan, Jang, Yoonseo, Sultane, Prakash R., Bielawski, Christopher W., and Oh, Jungwoo
- Subjects
- *
ENERGY bands , *ELECTRON energy loss spectroscopy , *DIELECTRICS , *CONDUCTION bands , *VALENCE bands , *STRAY currents - Abstract
We report the energy-band alignment of atomic layer-deposited (ALD) beryllium oxide (BeO) films and β-Ga 2 O 3 substrates. BeO is a unique oxide with a high dielectric constant and bandgap energy that can be used as a gate dielectric; however, it also has an extremely high thermal conductivity. It has great potential to improve the heat dissipation of β-Ga 2 O 3 power devices. In this study, the conduction band offset between the BeO film and β-Ga 2 O 3 substrate was found to be 3.4 eV, which was larger than those of conventional high-k gate dielectrics. In addition, the bandgap energies (8.6 eV and 4.7 eV for BeO and β-Ga 2 O 3 , respectively) were determined using reflection electron energy loss spectroscopy. The valence band offset (0.5 eV) was calculated using Kraut's method with the core level and valence band maximum energies of the BeO film and β-Ga 2 O 3 substrate. The high conduction band offset provided by the ALD BeO dielectric on the β-Ga 2 O 3 substrate lowered the gate leakage current density of a β-Ga 2 O 3 power device. • Atomic layer-deposited (ALD) beryllium oxide BeO films were grown on β-Ga 2 O 3 substrates. • The conduction band offset of the BeO film and β-Ga 2 O 3 substrates was found to be 3.4 eV. • The valence band offset of the heterostructure was calculated to be 0.5 eV using Kraut's method. • The bandgap energies (8.6 eV and 4.7 eV for BeO and β-Ga 2 O 3 , respectively) were determined. • The ALD BeO dielectric lowered the gate leakage current density of a β-Ga 2 O 3 power device. [ABSTRACT FROM AUTHOR]
- Published
- 2022
- Full Text
- View/download PDF
32. Stability and Protective Coatings of Semiconductor Electrodes for Solar Fuel Devices
- Author
-
Buabthong, Pakpoom (Pai)
- Subjects
atomic-layer deposition ,pinholes ,corrosion ,solar fuels ,amorphous ,titanium dioxide ,Materials Science ,CdTe ,gallium arsenide ,photoelectrochemistry ,ZnTe ,electrochemistry ,physical defects ,micro-islands ,defects ,amorphous TiO₂ - Abstract
Climate change and increasing global energy consumption drive the need for clean and renewable alternatives to fossil fuels. Photoelectrochemical solar fuel devices offer a potential solution to capture and store clean and renewable solar energy in chemical bonds. Nevertheless, degradation of semiconductor electrodes is one of the major impediments to the implementation of practical stable solar fuels systems. erein, we investigate the corrosion mechanisms and the corrosion kinetics of CdTe and ZnTe cathodes under the conditions for hydrogen-evolution reaction in strong acid and strong alkaline media. The effects of catalyst over-layer on CdTe’s and ZnTe’s corrosion pathways are discussed as well as potential protective coatings for ZnTe cathodes. Then, we address the original physical pinhole defects in amorphous a TiO₂ grown by atomic-layer deposition (ALD) on GaAs anodes. In addition, we explore new pinhole formation during electrochemical experiments and provide simulation for the propagation of the corroding GaAs substrate after new exposure to the electrolyte through microscopic pinholes. Finally, we develop a fabrication procedure for GaAs micro-island structures to provide defect isolation on the a TiO₂ film. The micro-island structures combined with dissolution measurements of the ALD a TiO₂ films were used to study the distribution and the evolution of pinholes from pre-existing defect spots in the protective coatings.
- Published
- 2021
- Full Text
- View/download PDF
33. Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures.
- Author
-
Liu, Bo-Heng, Huang, Hung Ji, Huang, Sheng-Hsin, and Hsiao, Chien-Nan
- Subjects
- *
PLATINUM , *THIN films , *THERMAL analysis , *CHEMICAL stability , *INDUCTIVELY coupled plasma spectrometry , *ATOMIC layer deposition , *TEMPERATURE effect - Abstract
The inductively coupled plasma-enhanced atomic layer deposition (PEALD) method was used to fabricate ultrathin and smooth Pt thin films at low temperatures without the use of a Pt seed layer. The Pt thin metal films deposited at 200 °C onto Si and glass substrates exhibited high conductivities (< 12 μΩ cm for films with a thickness greater than 8 nm) and thermal stabilities resembling those of the bulk material. The measured density of the deposited Pt thin films was 20.7 ± 6 g/cm 3 . X-ray photoelectron spectra of the films showed clear 4f peaks (74.3 eV (4f 5/2 ) and 71.1 eV (4f 7/2 )), and X-ray diffraction measurements showed the (111) peak of the fcc structure. The deposited Pt layers were in crystal form. The 25.5-nm Pt films coated onto 170-nm-wide trench structures (aspect ratio of 3.5:1) exhibited good step coverage. The PEALD-deposited Pt thin films were chemically stable under high-temperature light illumination and could serve as catalysts under strongly alkaline conditions (pH = 12) during the long-term oxidization of ammonium ions. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
34. Atomic-layer deposition of cadmium chalcogenides on silicon.
- Author
-
Ezhovskii, Yu.
- Abstract
The results of studies of the synthesis of ultrafine layers of cadmium selenide and telluride by atomic-layer deposition on the silicon surface of different orientations were summarized. The main tendencies of the chemisorption of the components and conditions of layer growth during the formation of nanostructures of these compounds were determined. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
35. Memristance effect of metal-insulator-metal structures using Al2O3 film as active layer for emergent memory devices.
- Author
-
Molina, J., Valderrama, R., Calleja, W., Rosales, P., Zúñiga, C., Gutiérrez, E., Hidalga, J., and Torres, A.
- Subjects
COMPLEMENTARY metal oxide semiconductors ,FERROELECTRIC RAM ,ELECTRODES ,ELECTRICAL conductors ,ELECTRICITY - Abstract
The memristor effect (cyclic switching from a High-Resistance State to a Low-Resistance State and viceversa) and a conductivity/resistivity window (I
OFF /ION ratio) of more than 4 orders of magnitude have been obtained in Metal-Insulator- Metal (MIM) structures that use Al2 O3 as oxide layer after cyclic I-V measurements. Before initial breakdown, different carrier conduction properties are found when using whether a highly reactive or a highly inert metal as the bottom electrode of the memory structure. These carrier conduction properties for the MIM structure are also affected by changing the deposition conditions of the bottom electrode (by changing the average roughness of its surface) or by using different Al2 O3 thickness. Most importantly, because of the low thermal budget used for fabrication of these devices (only 300°C), they have the potential to be vertically integrated as memory cells in higher metallization levels of a CMOS-based Back-End-Of-Line (BEOL) processing. This is important since it would promote real 3-D integration of memory devices for advanced circuit manufacturing. [ABSTRACT FROM AUTHOR]- Published
- 2014
36. Influence of growth temperature on the structure and electrical properties of high-permittivity Ti O2 films in Ti Cl4- H2 O and Ti Cl4- O3 atomic-layer-deposition processes.
- Author
-
Arroval, T., Aarik, L., Rammula, R., Mändar, H., Aarik, J., Hudec, B., Hušeková, K., and Fröhlich, K.
- Subjects
- *
ELECTRIC properties , *PERMITTIVITY , *ATOMIC layer deposition , *THIN films , *RUTILE , *CURRENT density (Electromagnetism) - Abstract
The influence of the growth temperature on structure and the electrical properties of TiO2 thin films deposited from TiCl4 and H2O and from TiCl4 and O3 was investigated in the temperature range of 150-500 °C. The high-permittivity rutile phase of TiO2 was obtained on RuO2 in both processes at 225 °C and higher substrate temperatures. The films deposited on Si contained rutile only when were deposited from TiCl4 and H2O at temperatures above 425 °C. Comparison of the films grown on RuO2 revealed superior electrical performance of those deposited from TiCl4 and O3. Although the k values ranging from 100 to 130 in the Pt/TiO2/RuO2 structures were somewhat lower for these films than for the films deposited from TiCl4 and H2O, the former process resulted in lower leakage current densities at similar capacitance effective thicknesses (CET). The leakage current density as low as 6.6 × 10−8 A cm−2 at 0.8 V and CET = 0.41 nm was obtained for films deposited from TiCl4 and O3 at 350 °C. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
37. Growth of high-quality epitaxial ZnO films on (10–10) sapphire by atomic layer deposition with flow-rate interruption method.
- Author
-
Huang, Jheng-Ming, Ku, Ching-Shun, Lee, Hsin-Yi, Lin, Chih-Ming, and Chen, San-Yuan
- Subjects
- *
ZINC oxide films , *SAPPHIRES , *ATOMIC layer deposition , *CONTINUOUS flow reactors , *METAL crystal growth , *OPTICAL properties of metals , *X-ray diffraction - Abstract
A novel process in an atomic layer deposition system with “flow-rate interruption” (FRI) was developed to obtain epitaxial ZnO films of high quality. The m-plane ZnO thin films were grown on m-plane sapphire substrates by atomic layer deposition with FRI or a conventional continuous-flow method at the temperature in the range of 25–260°C; 200°C appeared optimal. Measurements of X-ray reflectivity indicated that the thickness of ZnO films with FRI is almost twice than that grown with the continuous-flow method. The structural, optical and electrical properties were investigated with X-ray diffraction (XRD), photoluminescence and Hall measurements. The diffraction results indicated that the interrupted flow might extend the reaction of diethylzinc and water through an increased duration to improve the crystallographic quality of the films. According to the results from XRD at high resolution, to substantiate the epitaxial relation between the thin film and the substrate, an off-normal azimuthal scan along ZnO (201) demonstrated two-fold symmetry that indicated the ZnO films to be in epitaxial growth on sapphire. The photoluminescence results showed a strongly enhanced near-band-edge emission of an FRI sample, and the donor–bond exciton appearing in films also indicated superior crystalline qualities. The Hall mobility of the FRI method was up to 64.7cm2 V−1 s−1. The FRI method evidently improved the structural, optical and electrical properties of the ZnO films with small consumption of precursors. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
38. Comparison of engineered nanocoatings on the combustion of aluminum and copper oxide nanothermites
- Author
-
Collins, Eric, Pantoya, Michelle, Vijayasai, Ashwin, and Dallas, Tim
- Subjects
- *
COMPARATIVE studies , *NANOCOATINGS , *COPPER oxide , *ALUMINUM-copper alloys , *COMPOSITE materials , *CHEMICAL vapor deposition - Abstract
Abstract: Water-repellent nano-coatings for submerged combustion of nano-energetic composite materials were developed. These coatings may have applications for oceanic power generation, underwater ordnance, propulsion, metal cutting, and torch technologies. Nano-coatings were deposited on thermite pellets by a vapor-phase technique. Two types of deposition techniques studied were chemical vapor deposition (CVD) and atomic layer deposition (ALD). A total of six types of nano-coatings were applied on the thermite pellets. Various process parameters to produce the coatings were explored. Characterization of the nano-coatings was carried out using Fourier Transform Infrared Spectroscopy (FTIR), Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), and contact angle goniometry. Submerged combustion tests of the nano-coated thermite pellets were performed as a function of submerged time. The pellets were submerged in de-ionized water for 3, 5, and 10days. The energy released by the thermite reaction was analyzed and compared to other types of nano-coated pellets. Initial results of a fluorocarbon self-assembled monolayer (FSAM) coating were compared with an ALD coating composed of Al2O3. Results show that with increasing submerged time, there was a decrease in the ratio of bubble energy to total energy of combustion (Kc =Kbubble/Kcombustion) for all coatings tested. The initial bubble energy of the pellets coated with FSAM and ALD with Al2O3 was 133.3 and 142.2 (KJ/Kg), respectively. After submersion for 10days, the bubble energy reduced to 10.4 and 15.6 (KJ/Kg), respectively. The value of Kc for the FSAM coating decreased by a factor of 12.8 whereas the ALD with Al2O3 coating decreased by a factor of 9.1. The hydrophobic coating is critical for energy generation because without it, the pellets do not ignite, resulting in 100% loss of energy. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
39. Low interface state density and low leakage current of atomic-layer deposited TiO2/Al2O3/sulfur-treated GaAs.
- Author
-
Lee, Ming-Kwei and Yen, Chih-Feng
- Abstract
The (NH4)2S treatment can reduce native oxides and passivate GaAs. Atomic-layer-deposited (ALD-Al2O3) can further remove the residue native oxides by self-cleaning. For the first time, native oxides can be sufficiently removed by the combination of (NH4)2S treatment and ALD-Al2O3. Stacked with high dielectric constant TiO2 prepared by atomic-layer deposition on a Al2O3/(NH4)2S-treated GaAs MOS capacitor, the leakage current densities can reach 1.9 × 10−8 and 3.1 × 10−6 A/cm2 at ±2 MV/cm. The dielectric constant is 25 and the interface state density is about 2.4 × 1011 cm−2 eV−1. [ABSTRACT FROM AUTHOR]
- Published
- 2012
- Full Text
- View/download PDF
40. Nanostructured Platinum Catalysts by Atomic-Layer Deposition for Solid-Oxide Fuel Cells.
- Author
-
Chao, Cheng-Chieh, Motoyama, Munekazu, and Prinz, Fritz B.
- Published
- 2012
- Full Text
- View/download PDF
41. A study of GaN MOSFETs with atomic-layer-deposited Al2O3 as the gate dielectric.
- Author
-
Feng Qian, Xing Tao, Wang Qiang, Feng Qing, Li Qian, Bi Zhi-Wei, Zhang Jin-Cheng, and Hao Yue
- Subjects
- *
METAL oxide semiconductor field-effect transistors , *ALUMINUM oxide , *DIELECTRICS , *GALLIUM nitride , *ATOMIC layer deposition , *MICROFABRICATION , *ELECTRIC potential - Abstract
Accumulation-type GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) with atomic-layerdeposited Al2O3 gate dielectrics are fabricated. The device, with atomic-layer-deposited Al2O3 as the gate dielectric, presents a drain current of 260 mA/mm and a broad maximum transconductance of 34 mS/mm, which are better than those reported previously with Al2O3 as the gate dielectric. Furthermore, the device shows negligible current collapse in a wide range of bias voltages, owing to the effective passivation of the GaN surface by the Al2O3 film. The gate drain breakdown voltage is found to be about 59.5 V, and in addition the channel mobility of the n-GaN layer is about 380 cm2/Vs, which is consistent with the Hall result, and it is not degraded by atomic-layer-deposition Al2O3 growth and device fabrication. [ABSTRACT FROM AUTHOR]
- Published
- 2012
- Full Text
- View/download PDF
42. Mechanical stress in ALD-Al2O3 films
- Author
-
Krautheim, Gunter, Hecht, Thomas, Jakschik, Stefan, Schröder, Uwe, and Zahn, Wieland
- Subjects
- *
THICK films , *SPECTRUM analysis , *ELECTRON spectroscopy , *PHOTOELECTRON spectroscopy - Abstract
Abstract: Mechanical stress in atomic-layer deposition (ALD)-Al2O3 films was investigated at room temperature and during thermal cycling up to 870°C. The films were generally under tensile stress. Thicker films (25–60nm) showed a sharp stress increase at about 780–790°C. X-ray diffraction (XRD)-, X-ray reflectance (XRR)- and X-ray photoelectron spectroscopy (XPS)-measurements indicate an irreversible phase transition from amorphous AlO(OH) to a mixture of different crystalline Al2O3-phases. Annealing at higher temperatures leads to a stress reduction as a result of diffusion and recovery processes. The stress behaviour of thinner films (<20nm) during thermal cycling is quite different. Tensile stress increases with increasing temperature and decreases to nearly the same value during cooling down. The process is continuous and reversible. [Copyright &y& Elsevier]
- Published
- 2005
- Full Text
- View/download PDF
43. Electron transport mechanism through ultrathin Al2O3 films grown at low temperatures using atomic–layer deposition
- Author
-
Jiacheng Gao, Jiamin Sun, Qian Xin, Wenhao Guo, Aimin Song, Pengfei Ma, Guanqun Zhang, and Yuxiang Li
- Subjects
010302 applied physics ,Materials science ,Analytical chemistry ,Field strength ,02 engineering and technology ,low temperature ,atomic–layer deposition ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electron transport chain ,ultrathin Al2O3 ,Electronic, Optical and Magnetic Materials ,Atomic layer deposition ,X-ray photoelectron spectroscopy ,Si substrate ,tunneling current ,0103 physical sciences ,Electrode ,Materials Chemistry ,Tunneling current ,Electrical and Electronic Engineering ,0210 nano-technology ,Quantum tunnelling - Abstract
Alumina (Alalt;subagt;2alt;/subagt;Oalt;subagt;3alt;/subagt;) films of different thicknesses have been grown at different low temperatures (100–250 alt;supagt;oalt;/supagt;C) by atomic–layer deposition on n–type Si substrate. The robustness of the Alalt;subagt;2alt;/subagt;Oalt;subagt;3alt;/subagt; film as a barrier has been investigated based on Al/Alalt;subagt;2alt;/subagt;Oalt;subagt;3alt;/subagt;/Si metal–insulator–semiconductor structures. The electron transport through the Alalt;subagt;2alt;/subagt;Oalt;subagt;3alt;/subagt; layer was fitted well by the Fowler–Nordheim tunneling mechanism, from which the barrier heights (conduction band offset between Si and Alalt;subagt;2alt;/subagt;Oalt;subagt;3alt;/subagt;) were deduced. It was discovered that the growth temperature and film thickness both influenced the carrier transport and barrier height. The Al/Alalt;subagt;2alt;/subagt;Oalt;subagt;3alt;/subagt;/Si structure with an ultrathin 3 nm Alalt;subagt;2alt;/subagt;Oalt;subagt;3alt;/subagt; fabricated at 150 alt;supagt;oalt;/supagt;C showed the largest barrier height, the lowest tunneling current density (4.9 × 10alt;supagt;–8alt;/supagt; A/cmalt;supagt;2alt;/supagt; at 5 MV/cm), and the highest breakdown field strength of 18 MV/cm. Using Au to replace Al as the electrode could suppress the tunneling current significantly. The Alalt;subagt;2alt;/subagt;Oalt;subagt;3alt;/subagt; films were also examined by X–ray photoelectron spectroscopy to determine their chemical constituents.
- Published
- 2019
- Full Text
- View/download PDF
44. Toward 3D Thin-Film Batteries: Optimal Current-Collector Design and Scalable Fabrication of TiO2 Thin-Film Electrodes
- Author
-
Joan Elisabeth Balder, R. Ritasalo, Sebastien Moitzheim, Philippe M. Vereecken, Sandeep Unnikrishnan, S. Ek, Paul Poodt, and S. De Gendt
- Subjects
Technology ,Materials science ,Fabrication ,Silicon ,Energy & Fuels ,Materials Science ,Energy Engineering and Power Technology ,chemistry.chemical_element ,Materials Science, Multidisciplinary ,Substrate (electronics) ,ATOMIC-LAYER DEPOSITION ,CAPACITY ,Atomic layer deposition ,3D current-collector ,Materials Chemistry ,Electrochemistry ,Chemical Engineering (miscellaneous) ,Deposition (phase transition) ,Li-ion battery ,METAL-OXIDES ,titania ,Electrical and Electronic Engineering ,Thin film ,Science & Technology ,business.industry ,Chemistry, Physical ,Conformal coating ,Design for manufacturability ,MODEL ,Chemistry ,thin-film ,chemistry ,Physical Sciences ,atomic layer deposition ,Optoelectronics ,business - Abstract
© 2019 American Chemical Society. Three-dimensional (3D) thin-film solid-state batteries are an interesting concept for microstorage, promising high footprint capacity, fast charging, safety, and long lifetime. However, to realize their commercialization, several challenges still need to be overcome. In this work, we focus on two issues: the conformal coating and the high throughput deposition of thin-film layers. First, to facilitate conformal deposition, a design based on 3D micropillars is chosen. Although such a design has been suggested in the past, we calculate for the first time what (footprint) capacities can be expected when using fully optimized pillar geometries while taking practical manufacturability into consideration. Next, spatial atomic layer deposition (S-ALD) is investigated as a scalable and conformal deposition technique. As proof-of-concept, 100 nm Cl-doped am-TiO 2 thin-film electrodes are deposited by S-ALD on TiN-coated silicon micropillars. The influence of deposition parameters (i.e., exposure time and temperature) on the conformality and uniformity across the micropillar substrate is investigated. The results are discussed in terms of precursor diffusion and depletion, which is supported by an analytical model developed for our micropillar array. Furthermore, the Li-ion insertion properties of 3D electrodes fabricated by S-ALD and conventional ALD are compared. This research highlights the challenges and promises of 3D microbatteries and guides future S-ALD development to enable conformal and high-throughput thin-film deposition. ispartof: ACS APPLIED ENERGY MATERIALS vol:2 issue:3 pages:1774-1783 status: published
- Published
- 2019
45. Thermal Treatment Effects on Flat-band Voltage Shift in Atomic-layer-deposited Alumina or Aluminum Oxide/Silicon Nitride Passivation Stacks
- Author
-
Hidetaka Takato, Katsuhiko Shirasawa, Shalamujiang Simayi, Katsuto Tanahashi, and Supawan Joonwichien
- Subjects
atomic-layer deposition ,flat-band voltage ,Materials science ,aluminum oxides ,Silicon ,Passivation ,Annealing (metallurgy) ,Inorganic chemistry ,chemistry.chemical_element ,02 engineering and technology ,Thermal treatment ,01 natural sciences ,chemistry.chemical_compound ,Atomic layer deposition ,Energy(all) ,0103 physical sciences ,PERC ,surface passivation ,Common emitter ,010302 applied physics ,business.industry ,021001 nanoscience & nanotechnology ,silicon nitride ,Silicon nitride ,chemistry ,Optoelectronics ,annealing ,0210 nano-technology ,business ,Order of magnitude - Abstract
We studied the effects of thermal annealing on the interfacial properties of atomic-layer-deposited alumina (Al 2 O 3 ) films and aluminum oxide/silicon nitride (AlO x /SiN x ) stacks on silicon. Thermal treatment was found to have a significant effect on the lifetime improvement, owing to full activation of field-effect passivation, which can be realized with an increased flat-band voltage ( V fb ). The presence of a capping SiN x layer itself and/or during deposition is suspected to cause distribution of unstable negatively charged traps in the AlO x /SiN x stacks, resulting in a slight reduction in lifetime with inversely improved V fb . The interface trap density, an indicator of chemical passivation, remains at the same order of magnitude after thermal treatment and SiN x deposition, suggesting that atomic-layer deposition induces a high passivation quality. These results suggest that consideration of thermal-annealing effects and SiN x deposition conditions are crucial for improving the quality of passivation stacks at the rear of passivated emitter rear contact (PERC) cells and, consequently, the high performance of PERC cells.
- Published
- 2016
- Full Text
- View/download PDF
46. Deposition of HfO2 on InAs by atomic-layer deposition
- Author
-
Wheeler, D., Wernersson, L.-E., Fröberg, L., Thelander, C., Mikkelsen, A., Weststrate, K.-J., Sonnet, A., Vogel, E.M., and Seabaugh, A.
- Subjects
- *
EPITAXY , *CAPACITORS , *METAL oxide semiconductors , *HAFNIUM oxide , *INDIUM arsenide , *SEMICONDUCTOR wafers , *SEMICONDUCTOR junctions - Abstract
Abstract: Metal–oxide–semiconductor (MOS) capacitors are formed on bulk InAs substrates by atomic-layer deposition (ALD) of HfO2. Prior to film growth, InAs substrates receive a wet-chemical treatment of HCl, buffered HF (BHF), or (NH4)2S. Hafnium dioxide films are grown using 75 ALD cycles with substrate temperatures of 100, 200, and 300°C. Substrate temperature is found to have a significant influence on the current–voltage (I–V) and capacitance–voltage (C–V) characteristics of the capacitors, while the influence of substrate pretreatment manifests itself in interface trap density, Dit , as measured by the Terman method. [Copyright &y& Elsevier]
- Published
- 2009
- Full Text
- View/download PDF
47. Band alignment of BeO gate dielectric grown by atomic-layer deposition on AlGaN/GaN HEMTs.
- Author
-
Lee, Seung Min, Jung, Do Hwan, Yoon, Seonno, Jang, Yoonseo, Yum, Jung Hwan, Larsen, Eric S., Bielawski, Christopher W., and Oh, Jungwoo
- Subjects
- *
ELECTRON energy loss spectroscopy , *DIELECTRICS , *VALENCE bands , *CONDUCTION bands , *X-ray photoelectron spectroscopy , *DIELECTRIC films - Abstract
• Bandgap of the ALD-BeO film is measured to be 8.2 ± 0.05 eV by REELS. • Valence band offset of the BeO/AlGaN interfaces is determined to be 1.1 ± 0.1 eV by XPS. • Conduction band offset of the BeO/AlGaN is calculated as a very high value of 3.2 ± 0.1 eV. • BeO-gated AlGaN/GaN MOS-HEMT exhibits an on/off current ratio of 107. In this study, we demonstrated the band alignment between a BeO and AlGaN/GaN heterointerface. The bandgap of the BeO film was measured to be 8.2 ± 0.05 eV by reflection electron energy loss spectroscopy. A valence band offset of the BeO/AlGaN interface was determined to be 1.1 ± 0.1 eV by X-ray photoelectron spectroscopy. Based on the spectral analysis result, the conduction band offset was calculated to be 3.2 ± 0.1 eV. When BeO was used as the gate dielectric of an AlGaN/GaN transistor, the on/off current ratio was improved to 107. The results of the band alignment and electrical testing open up opportunities for the application of BeO films to the gate dielectric of GaN-based high-power devices. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
48. Nano- and Microlens Arrays Grown Using Atomic-Layer Deposition.
- Author
-
Wang, J. J., Nikolov, A., and Wu, Q.
- Abstract
A method for making optical micro- and even nanosized lens arrays with 100% fill factor is reported here. Lens arrays with a wide range of diameters and pitches, from deep submicron to tens of microns, were made through conformal growth of dielectric monolayers onto prepatterned templates by using atomic-layer deposition. This method has a degree of freedom of choosing lens materials with a broad range of optical refractive indexes, as well as of controlling the curvature of the lens surface and the fill factor of the lens array. This method greatly boosts our capability to make optimal lens arrays for broad applications [ABSTRACT FROM PUBLISHER]
- Published
- 2006
- Full Text
- View/download PDF
49. Cyclic Plasma Halogenation of Amorphous Carbon for Defect-Free Area-Selective Atomic Layer Deposition of Titanium Oxide.
- Author
-
Krishtab M, Armini S, Meersschaut J, De Gendt S, and Ameloot R
- Abstract
As critical dimensions in integrated circuits continue to shrink, the lithography-based alignment of adjacent patterned layers becomes more challenging. Area-selective atomic layer deposition (ALD) allows circumventing the alignment issue by exploiting the chemical contrast of the exposed surfaces. In this work, we investigate the selective deposition of TiO
2 by plasma halogenation of amorphous carbon (a-C:H) acting as a growth-inhibiting layer. On a-C:H, a CF4 or Cl2 plasma forms a thin halogenated layer that suppresses the growth of TiO2 , while nucleation remains unaffected on plasma-treated SiO2 . The same halogenating plasmas preferentially etch TiO2 nuclei over films and thus enable the restoration of the halogenated surface of amorphous carbon. By embedding the intermediate plasma treatments in the ALD TiO2 sequence, an 8 nm TiO2 layer could be deposited with a selectivity of 0.998. The application of the cyclic process on a 60 nm half-pitch line pattern resulted in the defect-free deposition of TiO2 at the bottom of the trenches. Cyclic fluorination demonstrated better growth inhibition compared to chlorination due to more efficient defect removal and retention of the favorable surface composition during plasma exposure. While exploring the TiO2 nucleation defects at the limit of detection for conventional elemental analysis techniques (<1 × 1014 at/cm2 ), we additionally highlight the value of imaging techniques such as atomic force microscopy for understanding defect formation mechanisms and accurately assessing growth selectivity.- Published
- 2021
- Full Text
- View/download PDF
50. Achieving a Low-Voltage, High-Mobility IGZO Transistor through an ALD-Derived Bilayer Channel and a Hafnia-Based Gate Dielectric Stack.
- Author
-
Cho MH, Choi CH, Seul HJ, Cho HC, and Jeong JK
- Abstract
Ultrahigh-resolution displays for augmented reality (AR) and virtual reality (VR) applications require a novel architecture and process. Atomic-layer deposition (ALD) enables the facile fabrication of indium-gallium zinc oxide (IGZO) thin-film transistors (TFTs) on a substrate with a nonplanar surface due to its excellent step coverage and accurate thickness control. Here, we report all-ALD-derived TFTs using IGZO and HfO
2 as the channel layer and gate insulator, respectively. A bilayer IGZO channel structure consisting of a 10 nm base layer (In0.52 Ga0.29 Zn0.19 O) with good stability and a 3 nm boost layer (In0.82 Ga0.08 Zn0.10 O) with extremely high mobility was designed based on a cation combinatorial study of the ALD-derived IGZO system. Reducing the thickness of the HfO2 dielectric film by the ALD process offers high areal capacitance in field-effect transistors, which allows low-voltage drivability and enhanced carrier transport. The intrinsic inferior stability of the HfO2 gate insulator was effectively mitigated by the insertion of an ALD-derived 4 nm Al2 O3 interfacial layer between HfO2 and the IGZO film. The optimized bilayer IGZO TFTs with HfO2 -based gate insulators exhibited excellent performances with a high field-effect mobility of 74.0 ± 0.91 cm2 /(V s), a low subthreshold swing of 0.13 ± 0.01 V/dec, a threshold voltage of 0.20 ± 0.24 V, and an ION/OFF of ∼3.2 × 108 in a low-operation-voltage (≤2 V) range. This promising result was due to the synergic effects of a bilayer IGZO channel and HfO2 -based gate insulator with a high permittivity, which were mainly attributed to the effective carrier confinement in the boost layer with high mobility, low free carrier density of the base layer with a low VO concentration, and HfO2 -induced high effective capacitance.- Published
- 2021
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.