1,830 results on '"wafer fabrication"'
Search Results
252. Dynamic fuzzy-neural fluctuation smoothing rule for jobs scheduling in a wafer fabrication factory.
- Author
-
Chen, T.
- Subjects
SLIDING mode control ,ROBUST control ,FUZZY expert systems ,FLUCTUATIONS (Physics) ,SEMICONDUCTOR wafers - Abstract
The present paper proposes a dynamic fuzzy-neural fluctuation smoothing rule to improve the performance of scheduling jobs in a wafer fabrication factory. The rule is modified from the well-known fluctuation smoothing rules with some innovative treatments. First, two non-linear forms of the fluctuation smoothing rule are obtained. To consider two performance measures (the average cycle time and cycle time variation) simultaneously, the two non-linear fluctuation smoothing rules are merged into a bi-criteria rule. Second, to tailor the content of the bi-criteria rule for a specific wafer fabrication factory, a dynamic factor is designed which facilitates the gradual transition between rules. Third, the remaining cycle time of a job to be scheduled is estimated by applying the fuzzy c-means (FCM)-back-propagation network (BPN) approach to improve the estimation accuracy. To evaluate the effectiveness of the proposed methodology, production simulation is also applied in this study. According to experimental results, the proposed methodology outperforms some existing approaches in reducing the average cycle time and cycle time variation at the same time. Moreover, experimental results also reveal that with the dynamic rule it is possible to improve one performance measure without raising the expense of another performance measure. [ABSTRACT FROM AUTHOR]
- Published
- 2009
- Full Text
- View/download PDF
253. In-line Inspection Impact on Cycle Time and Yield.
- Author
-
Tirkel, Israel, Reshef, Noam, and Rabinowitz, Gad
- Subjects
- *
SEMICONDUCTOR industry , *MANUFACTURING processes , *MEASUREMENT , *WEIGHTS & measures , *PRODUCTION management (Manufacturing) - Abstract
The semiconductor industry constantly drives for high yield and low cycle time (CT), while most current manufacturing practices consider them separately. This research investigates and exhibits the relationship between CT and yield as affected by in-line metrology inspections of production lots. Among the various factors that impact the tradeoff between CT and yield, we focus on single operation monitors and investigate their measure rate and scheduling. The research assumes a simplified Production Cell consisting of three operation steps that represent a typical segment in a production line. We compose and apply dynamic policies for metrology inspections via simulation and analytical methods. The aim is to concurrently reduce the CT accumulated and increase the yield achieved due to inspections. Ten inspection policies are compared under nine different operation scenarios. The results of most of the policies present a concave curve of yield versus CT. The curve illustrates that growing inspection rate increases both yield and CT until the yield reaches a maximum and then starts to decline. The cause for the yield decline is longer delay in corrective feedback to an out-of-control production tool due to longer waiting time for inspection. A cost-benefit CT-yield objective function is defined and demonstrates that the newly composed dynamic inspection policies are superior to the commonly used fixed measure rate policy. Future research could relax part of the simplified Production Cell assumptions in order to consider more realistic model structure and scenarios. [ABSTRACT FROM AUTHOR]
- Published
- 2009
- Full Text
- View/download PDF
254. Raising the hit rate for wafer fabrication by a simple constructive heuristic
- Author
-
Ying, Kuo-Ching and Lin, Shih-Wei
- Subjects
- *
LITERATURE , *OPERATIONS research , *SEMICONDUCTOR wafers , *INDUSTRIAL productivity , *INDUSTRIAL management , *SEMICONDUCTOR industry - Abstract
Abstract: The rate of on-time delivery, namely hit rate, is a very significant performance measurement index for semiconductor wafer fabrication. This study proposes an efficient simple constructive heuristic (SCH), called slack multiplied uncompleted ratio (SMUR), for raising the hit rate in wafer fabs. Effectiveness of the proposed SMUR heuristic is verified by conducting simulation experiments based on a well known model from the relevant literature. The results indicate that the proposed SMUR heuristic is a state-of-the-art SCH for the current problem by comparing the obtained results to the best available SCHs in the relevant literature. Since the proposed SMUR heuristic is easy to implement and decreases the computational burden, this study successfully develops a practical approach which will hopefully encourage practitioners to apply it to real world problems. [Copyright &y& Elsevier]
- Published
- 2009
- Full Text
- View/download PDF
255. Due-date performance improvement using TOC’s aggregated time buffer method at a wafer fabrication factory
- Author
-
Kuo, Tsai-Chi, Chang, Sheng-Hung, and Huang, Shang-Nan
- Subjects
- *
INDUSTRIAL productivity , *PRODUCTION (Economic theory) , *SEMICONDUCTOR wafers , *INDUSTRIAL capacity , *PROJECT management , *SIMULATION methods & models - Abstract
Abstract: Due-date performance is one of the most important production indexes for success utilized by wafer fabrication factories. Traditionally, the industry sets a specific due-date tightness level and a dispatching rule based on the total processing time, the production capacity, pre-defined order release criteria and historical data, to ensure deliveries are made on-time. However, such policies typically do not solve the due-date performance problem at wafer fabrication factories, since the processes are highly complex. This investigation explores the due-date performance problem using the concept of the aggregated time buffer in critical chain project management (CCPM), which was developed by Dr. Goldratt. A simulation model was constructed and the performance of the proposed method is evaluated based on four dispatching rules at a wafer fabrication factory. The findings reveal that applying aggregated time buffer control system improved the overall due-date control, in terms of on-time delivery rate, average tardiness, and variances in average tardiness and lateness. [Copyright &y& Elsevier]
- Published
- 2009
- Full Text
- View/download PDF
256. The study of applying ANP model to assess dispatching rules for wafer fabrication
- Author
-
Lin, Yu-Hsin, Chiu, Chung-Ching, and Tsai, Chih-Hung
- Subjects
- *
SEMICONDUCTOR wafers , *HIGH technology industries , *COMPUTER architecture , *SEMICONDUCTORS - Abstract
Abstract: Wafer fabrication is a capital-intensive high-tech sector with highly complex manufacturing processes. Therefore, most of the fabs resort to production dispatching as a means to enhancing production efficiency. The commonly seen methods of production dispatching at the present time are devised to meet single performance indicators. Few methods take into account multiple, or even conflicting performance indicators. Therefore, different production control managers adopt different criteria. Also, as performance indicators change with the variances of production lines and actual demands, it is necessary to clarify the rules of varying dispatching methods and their impacts on all the production performance indicators so that it is possible to explore an architecture for multiple-rule or multiple-target production dispatching in order to meet dynamic performance targets. This paper uses analytical network process (ANP) method to construct a dispatching model based on the characteristics of all the production facilities on-site (such as the utilization of bottleneck machines), in order to explore the relationship among various performance indicators and correlation between performance indicators and the dispatching rules. The aim of this paper is to analyze the production dispatching issues of wafer fabs in an effective and systematic approach, so as to provide an on-site dispatching analysis model that takes into consideration production characteristics and indicator adjustments. This paper finds that the most optimal dispatching method for ANP dispatch model is EDD dispatching method, followed by LS dispatching method. FIFO dispatching method yields the worst performance. The ANP dispatching assess model proposed in this paper can surely serves as an analytical architecture for decision makes to evaluate production dispatching models of multiple production indicators in the future. [Copyright &y& Elsevier]
- Published
- 2008
- Full Text
- View/download PDF
257. Design of due-date oriented look-ahead batching rule in wafer fabrication.
- Author
-
Sha, D. Y., Sheng-Yuan Hsu, and Lai, X. D.
- Subjects
- *
INDUSTRIAL engineering , *BATCH processing , *SEMICONDUCTOR wafers , *WAFER-scale integration of circuits , *PRODUCTION control , *PERFORMANCE standards - Abstract
In wafer fabrication processes, batch processing accounts for over 30% of the overall processing time. And it’s a trade-off between machine utilization and wafer waiting time. Therefore, batch machines have become one of the constraint resources during wafer fabrication. How to maintain the utilization and reduce the waiting time are important tasks for production control. Plenty of research in the past several years focused on the dispatching rules of batch processing. According to many researchers, look-ahead batch dispatching rules outperform MBS on waiting times and machine utilization. The look-ahead batching rules that have been developed are DBH, NACH, MCR, and DJAH. However, these rules do not take the due-date information of wafers into consideration, and can’t accelerate the wafer’s fabrication that will not be completed before the due-date. This study will develop a due-date oriented look-ahead batching rule, namely LBCR, that considers the due-date and expects to raise delivery rates and reduce the average tardiness. Firstly, this study will modify those batching rules to fit the manufacturing environment of wafer fabrication. There are serial simulation tests on those batching rules under various kinds of factors in terms of environment, including traffic intensity, product numbers and product mix rate. Finally, this study will compare the five batching rules on different performance indicators. After the simulation and statistic analysis undertaken, LBCR does outperform other batch dispatching rules on due-date related performance indicators, such as tardy rate and average tardiness. [ABSTRACT FROM AUTHOR]
- Published
- 2007
- Full Text
- View/download PDF
258. A fuzzy-neural system with error feedback to adjust classification for forecasting wafer lot flow time: a simulation study.
- Author
-
Chen, T. and Wang, Y.-C.
- Subjects
SEMICONDUCTOR wafers ,SIMULATION methods & models ,CUSTOMER relationship management ,CASE-based reasoning ,DATABASES ,MICROELECTRONICS ,ARTIFICIAL neural networks ,FORECASTING ,ELECTRONICS - Abstract
Estimating lot flow (cycle) time is a critical task for a wafer fabrication plant (wafer fab). Many recent studies have shown that pre-classifying wafer lots before estimating the flow times is beneficial to estimation accuracy. In this aspect, various classification approaches, e.g. k-means (kM), fuzzy c-means (FCM), and self-organization map (SOM), have been applied. After pre-classification, to estimate the flow times for lots belonging to different categories, different approaches (that are in fact the same approaches but with different parameter settings) are applied. However, these applications of classification approaches considered only the data of wafer lots, but ignored whether the classification approaches combined with the subsequent estimation techniques were suitable for the data. To tackle this problem, instead of trying many possible classification and forecasting approaches to find out the most suitable combination, a FCM and back propagation network (BPN) combination is chosen in the current study. In the proposed methodology, the classification results by FCM will be adjusted with forecasting error fed back from the BPN. In this way, if the FCM-BPN combination is not good enough for the data, then a forecasting error will be generated and fed back to the FCM classifier to adjust the classification results. After some replications, the FCM-BPN combination will become more suitable for the data. To evaluate the effectiveness, production simulation is applied in the present study to generate test data. According to experimental results, the forecasting accuracy of the proposed methodology is significantly better than those of many existing approaches. The effects of adjusting classification results with prediction error are also revealed. [ABSTRACT FROM AUTHOR]
- Published
- 2007
- Full Text
- View/download PDF
259. Research on the WIP-based Dispatching Rules for Photolithography Area in Wafer Fabrication Industries.
- Author
-
Lin, Yu-Hsin, Tsai, Chih-Hung, Lee, Ching-En, and Chiu, Chung-Ching
- Abstract
Constructing an effective production control policy is the most important issue in wafer fabrication factories. Most of researches focus on the input regulations of wafer fabrication. Although many of these policies have been proven to be effective for wafer fabrication manufacturing, in practical, there is a need to help operators decide which lots should be pulled in the right time and to develop a systematic way to alleviate the long queues at the bottleneck workstation. The purpose of this study is to construct a photolithography workstation dispatching rule (PADR). This dispatching rule considers several characteristics of wafer fabrication and influential factors. Then utilize the weights and threshold values to design a hierarchical priority rule. A simulation model is also constructed to demonstrate the effect of the PADR dispatching rule. The PADR performs better in throughput, yield rate, and mean cycle time than FIFO (First-In-First-Out) and SPT (Shortest Process Time). [ABSTRACT FROM AUTHOR]
- Published
- 2007
- Full Text
- View/download PDF
260. Due-Date Assignment for Wafer Fabrication Under Demand Variate Environment.
- Author
-
Pearn, W. L., Chung, S. H., and Lai, C. M.
- Subjects
- *
SEMICONDUCTOR industry , *INDUSTRIAL contamination , *INTEGRATED circuits industry , *PROCESS control systems , *MEAN time to repair , *SIMULATION methods & models - Abstract
In the semiconductor industry, dynamic changes in demand force companies to change the product mix frequently and periodically. Assigning tight but attainable due dates is a great challenge under the circumstances that the product mix changes periodically. In this paper, we consider the due-date assignment problem for wafer fabrication and present a due-date assignment model to set manufacturing due dates satisfying the target on-time-delivery rate. The contamination model is applied to tackle the effect of that product mix varies periodically. We demonstrate the effectiveness and accuracy of the proposed model by solving a real-world example taken from a wafer fabrication shop floor in an IC manufacturing factory. [ABSTRACT FROM AUTHOR]
- Published
- 2007
- Full Text
- View/download PDF
261. High Moment Materials and Fabrication Processes for Shielded Perpendicular Write Head Beyond 200 Gb/in2.
- Author
-
Chen, Y., Sin, K., Jiang, H., Tang, Y., Sasaki, K., Torabi, A., Wang, L., Park, M., Bai, D., Shen, Y., Luo, P., Liu, F., Stoev, K., Lin, W., and Zhu, J.
- Subjects
- *
MAGNETIC recorders & recording , *MAGNETIC devices , *AUDIO equipment , *ELECTROACOUSTICS , *SOUND recording & reproducing , *MICROELECTRONICS , *ACOUSTICAL engineering - Abstract
Commercial hard-drive products utilizing perpendicular magnetic recording technology have recently been announced and introduced. In this paper, we review key magnetic materials characteristics and wafer process attributes in fabricating perpendicular write heads. It becomes increasingly important for write-head materials to possess not only high magnetic moment, but also optimal coercivity, remanence, anisotropy Hk, magnetostriction, and stress in order to meet head performance and reliability requirements. Advanced materials and film architectures discussed in this paper resulted in a significantly improved performance margin, including reduced pole erasure; hence enabling higher recording densities. Novel wafer-processing techniques are required for fabrication of 3-D pole features with controlled shape, and with critical dimensions of less than 150 nm. The advance in wafer process has been driven by rapidly decreasing trackwidth, as well as by the evolving head architecture from unshielded rectangular pole to shielded trapezoidal pole. [ABSTRACT FROM AUTHOR]
- Published
- 2007
- Full Text
- View/download PDF
262. High Moment Materials and Fabrication Processes for Shielded Perpendicular Write Head Beyond 200 Gb/in2.
- Author
-
Chen, Y., Sin, K., Jiang, H., Tang, Y., Sasaki, K., Torabi, A., Wang, L., Park, M., Bai, D., Shen, Y., Luo, P., Liu, F., Stoev, K., Lin, W., and Zhu, J.
- Subjects
MAGNETIC recorders & recording ,MAGNETIC devices ,AUDIO equipment ,ELECTROACOUSTICS ,SOUND recording & reproducing ,MICROELECTRONICS ,ACOUSTICAL engineering - Abstract
Commercial hard-drive products utilizing perpendicular magnetic recording technology have recently been announced and introduced. In this paper, we review key magnetic materials characteristics and wafer process attributes in fabricating perpendicular write heads. It becomes increasingly important for write-head materials to possess not only high magnetic moment, but also optimal coercivity, remanence, anisotropy Hk, magnetostriction, and stress in order to meet head performance and reliability requirements. Advanced materials and film architectures discussed in this paper resulted in a significantly improved performance margin, including reduced pole erasure; hence enabling higher recording densities. Novel wafer-processing techniques are required for fabrication of 3-D pole features with controlled shape, and with critical dimensions of less than 150 nm. The advance in wafer process has been driven by rapidly decreasing trackwidth, as well as by the evolving head architecture from unshielded rectangular pole to shielded trapezoidal pole. [ABSTRACT FROM AUTHOR]
- Published
- 2007
- Full Text
- View/download PDF
263. Optical Emission Spectrum Processing Using Wavelet Compression During Wafer Fabrication
- Author
-
Taikang Ning, H. Chan, Vincent Wong, Chung Ho Huang, and John Jensen
- Subjects
Engineering ,business.industry ,Wavelet transform ,020206 networking & telecommunications ,Data_CODINGANDINFORMATIONTHEORY ,02 engineering and technology ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,Reduction (complexity) ,Wafer fabrication ,Set partitioning in hierarchical trees ,Wavelet ,Computer data storage ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,020201 artificial intelligence & image processing ,Algorithm design ,Electrical and Electronic Engineering ,business ,Data compression - Abstract
This paper describes the application of discrete-wavelet transform (DWT)-based algorithms to compress optical emission spectral intensity data collected during wafer fabrication. The major goal is to seek computationally efficient compression algorithms that can significantly reduce the data storage requirement but also are capable of retaining enough data authenticity critical for diagnostic purposes. The potential benefits will provide a promising foundation for an integrated data collection and compression tool. The optical emission data are treated in this paper either as images for the whole spectrum or bands of time series and are treated accordingly using appropriate DWT compression approaches. We have found through representative simulation examples that using the set partitioning in hierarchical trees compression algorithm it is achievable to obtain better than 99% storage reduction for optical emission spectrum (OES) images. For OES time series we have achieved around 95% storage reduction with Daubechies and Haar wavelets. The storage reductions are achieved while maintaining sufficient authenticity to retain the dynamic nature of OES data for diagnostic purposes.
- Published
- 2017
- Full Text
- View/download PDF
264. Exploit the Value of Production Data to Discover Opportunities for Saving Power Consumption of Production Tools
- Author
-
Chih-Min Yu, Chung-Jen Kuo, and Chen-Fu Chien
- Subjects
0209 industrial biotechnology ,Engineering ,021103 operations research ,Artificial neural network ,Exploit ,business.industry ,Energy management ,0211 other engineering and technologies ,02 engineering and technology ,Condensed Matter Physics ,USable ,Industrial engineering ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,Wafer fabrication ,020901 industrial engineering & automation ,Empirical research ,Embedded system ,measurement_unit.energy_unit ,Production (economics) ,Electrical and Electronic Engineering ,business ,Kilowatt-Hour ,measurement_unit - Abstract
Semiconductor industry is both technology and energy intensive. There is a critical need to develop effective ways for energy saving to support smart and green production. This paper aims to develop data mining approach based on neural networks to exploit the value of production data and derive improvement directions for energy saving. In particular, the power consumption per wafer processed step (kilowatt hour per move, kwh/move) of individual production tool sets can be estimated, in which the relationships between kwh/move and 19 individual input factors, including “lot size,” “process time,” “uptime,” “usable machine,” “Q-time constrain,” and “sampling rate” are derived. An empirical study was conducted in a leading wafer fab and the results have shown practical viability of the proposed approach to discover effective opportunities for saving 17.21% power consumption by production tool sets.
- Published
- 2017
- Full Text
- View/download PDF
265. Optimal One-Wafer Cyclic Scheduling of Time-Constrained Hybrid Multicluster Tools via Petri Nets
- Author
-
Naiqi Wu, FaJun Yang, Yan Qiao, and MengChu Zhou
- Subjects
0209 industrial biotechnology ,Mathematical optimization ,Schedule ,Semiconductor device fabrication ,Computer science ,020208 electrical & electronic engineering ,Real-time computing ,02 engineering and technology ,Petri net ,Computer Science Applications ,Scheduling (computing) ,Human-Computer Interaction ,Wafer fabrication ,020901 industrial engineering & automation ,Control and Systems Engineering ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Robot ,Wafer ,Electrical and Electronic Engineering ,Software - Abstract
Scheduling a multicluster tool with wafer residency time constraints is highly challenging yet important in ensuring high productivity of wafer fabrication. This paper presents a method to find an optimal one-wafer cyclic schedule for it. A Petri net is developed to model the dynamic behavior of the tool. By this model, a schedule of the system is analytically expressed as a function of robots’ waiting time. Based on this model, this paper presents the necessary and sufficient conditions under which a feasible one-wafer cyclic schedule exists. Then, it gives efficient algorithms to find such a schedule that is optimal. These algorithms require determining the robots’ waiting time via simple calculation and thus are efficient. Examples are given to show the application and effectiveness of the proposed method.
- Published
- 2017
- Full Text
- View/download PDF
266. Completion Time Analysis of Wafer Lots in Single-Armed Cluster Tools With Parallel Processing Modules
- Author
-
Hyun-Jung Kim and Jun-Ho Lee
- Subjects
0209 industrial biotechnology ,Engineering ,021103 operations research ,business.industry ,Real-time computing ,0211 other engineering and technologies ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,02 engineering and technology ,Petri net ,Scheduling (computing) ,Wafer fabrication ,020901 industrial engineering & automation ,Control and Systems Engineering ,Optimal scheduling ,Hardware_INTEGRATEDCIRCUITS ,Robot ,Wafer ,Hoist (device) ,Electrical and Electronic Engineering ,Completion time ,business - Abstract
We analyze the completion time of wafer lots in single-armed cluster tools with parallel processing modules (PMs) by considering the lot switching operation. To effectively assign wafer lots and dispatch overhead hoist transports (OHTs) to manufacturing tools, it is crucial to obtain the completion time of wafer lots. However, estimating the completion time is not straightforward, due to the concurrent processing of two consecutive wafer lots during lot switching operation, which often increases wafer sojourn times in PMs. In this paper, we derive closed-form expressions of the completion time of wafer lots in single-armed cluster tools with parallel PMs. We assume that the robot unloads wafers in the order of their loading sequence. We then experimentally show that the formulas derived can be used even when processing time variation exists or another robot task sequence, which is of first-in first-out (FIFO), is assumed. Note to Practitioners —Due to the larger wafer size and circuit width reduction, cluster tools often perform the lot switching operation with each pair of consecutive wafer lots. In addition, since most tools are operated with parallel chambers, concurrent processing with two different wafer lots occurs frequently. Such transient periods in operating tools make it hard to estimate the completion time of wafer lots. In this paper, we derive closed-form expressions to obtain the completion time of wafer lots in single-armed cluster tools with parallel chambers. We further show that the formulas can be used with processing time variation or the FIFO rule. With the formulas, OHTs can be sent just-in-time to tools to load or unload wafer cassettes, and wafer lots can be assigned while minimizing the transient periods. In addition, the estimated completion time can be utilized in the planning and scheduling of wafer fabrication processes.
- Published
- 2017
- Full Text
- View/download PDF
267. Robust production capacity planning under uncertain wafer lots transfer probabilities for semiconductor automated material handling systems
- Author
-
Zheng Wang, Felix T.S. Chan, and Wenliang Chen
- Subjects
0209 industrial biotechnology ,Mathematical optimization ,021103 operations research ,Information Systems and Management ,Optimization problem ,General Computer Science ,Computer science ,Semiconductor device fabrication ,0211 other engineering and technologies ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,02 engineering and technology ,Management Science and Operations Research ,Industrial and Manufacturing Engineering ,Wafer fabrication ,020901 industrial engineering & automation ,Capacity planning ,Modeling and Simulation ,Production (economics) ,Wafer ,Operations management ,Material handling - Abstract
In this paper, wafer lots transfer probability (WLTP) is introduced to capture the flowing rate of wafer lots among production bays, which is uncertain due to various wafer types and quantities. We study a new production capacity planning problem for wafer fabrication systems with uncertain WLTP. Based on an open queueing network model, the average work-in-process (WIP) level of the system is evaluated. Because of the uncertain WLTP, the average WIP level fluctuates significantly and sometimes exceeds its upper bound. Therefore, we develop a robust production capacity planning model with two layers: the bottom layer for finding the maximum WIP fluctuation under a given vehicle quantity, and the upper layer for determining the vehicle quantities to minimize the WIP fluctuation and the probability of the average WIP exceeding the upper bound. A method based on the monotonicity of the objective functions is developed to solve such a bi-objective optimization problem.
- Published
- 2017
- Full Text
- View/download PDF
268. Scheduling Transient Processes for Time-Constrained Single-Arm Robotic Multi-Cluster Tools
- Author
-
Naiqi Wu, MengChu Zhou, Yan Qiao, and Qinghua Zhu
- Subjects
0209 industrial biotechnology ,Schedule ,Engineering ,021103 operations research ,Job shop scheduling ,business.industry ,Time constrained ,Distributed computing ,Real-time computing ,0211 other engineering and technologies ,Scheduling (production processes) ,Multi cluster ,02 engineering and technology ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,Wafer fabrication ,020901 industrial engineering & automation ,Robot ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
Multi-cluster tools are highly productive yet expensive wafer fabricating facility in semiconductor industry. Current competitive business trend requires high-mix and low-volume production of wafers. The size of a wafer lot is becoming smaller and wafer lots change more frequently. This brings up with many start-up and close-down processes. It is thus more and more important to control these transient processes to ensure the highest utilization of expensive facility. Since many wafer fabrication processes have strict residency time constraints after a wafer’s processing, this work aims to schedule optimally the transient processes of single-arm multi-cluster tools subject to such constraints. For such tools whose optimal steady state schedules can be found, we propose linear programs to find the optimal schedules for start-up and close-down processes for the first time. The obtained schedules make the transitions between transient and steady states seamlessly. Examples are presented to illustrate how the proposed method outperforms the existing ones by about 10% throughput.
- Published
- 2017
- Full Text
- View/download PDF
269. Job releasing and throughput planning for wafer fabrication under demand fluctuating make-to-stock environment.
- Author
-
Shu-Hsing Chung and Chun-Mei Lai
- Subjects
- *
PRODUCT management , *PRODUCTION planning , *JOB orders , *PRODUCT mixes , *PRODUCT lines , *MANUFACTURING processes , *SEMICONDUCTOR industry - Abstract
In the semiconductor industry, dynamic changes in demand force companies changing the product mix makes the production planning challenging. This paper aims at an environment where product mix changes periodically and presents a production scheduling system to plan the wafer lot release and throughput. The proposed system is designed on the make-to-stock basis with the objective of meeting demand forecast while maintaining production smoothness. Two modules are included in the system. Preliminary analysis module analyzes throughput and cycle time distributions for different product mixes so as to determine relative parameters to be used as the inputs to the job releasing plan. In the production scheduling module, with the considerations of the attainment of demand forecast, production smoothness, and commitment of the due dates of the released job orders, the job release schedule and completion time table are prepared. A simulation model of a semiconductor fab is used as the base case to demonstrate the effectiveness and efficiency of the proposed system. [ABSTRACT FROM AUTHOR]
- Published
- 2006
- Full Text
- View/download PDF
270. Capacity allocation model for photolithography workstation with the constraints of process window and machine dedication.
- Author
-
Chung, S. H., Huang, C. Y., and Lee, A. H. I.
- Subjects
PHOTOLITHOGRAPHY ,SEMICONDUCTOR manufacturing ,SEMICONDUCTOR wafers ,LINEAR programming ,MULTIMACHINE assignments ,THEORY of constraints - Abstract
As semiconductor process technology progresses, a more rigid process requirement emerges. Process window, also known as process capability, means that a wafer needs to be processed on the machines that can satisfy its process specification. Machine dedication, means that after the first critical layer of a wafer lot is processed on a certain machine, the subsequent critical layers of this lot must be processed on the same machine, to ensure final products of a good quality. The interaction of the two characteristics makes the production plan, based on the overall capacity of workstations, very hard to implement in the real production environment. This paper, therefore, proposes an integer programming model to solve the capacity allocation problem. With the objective of load levelling, the proposed model will assign the load of each layer, of each order, to each machine, with considerations of process window and machine dedication constraints. The model can be used in practice, and the loading allocation results can be a reference for controlling load levelling and setting the release time, in a fab. [ABSTRACT FROM AUTHOR]
- Published
- 2006
- Full Text
- View/download PDF
271. Scheduling and Control of Startup Process for Single-Arm Cluster Tools With Residency Time Constraints
- Author
-
Naiqi Wu, Yan Qiao, MengChu Zhou, and Qinghua Zhu
- Subjects
0209 industrial biotechnology ,Schedule ,Engineering ,021103 operations research ,Job shop scheduling ,Linear programming ,business.industry ,Distributed computing ,Real-time computing ,0211 other engineering and technologies ,02 engineering and technology ,Petri net ,Transient analysis ,Scheduling (computing) ,Wafer fabrication ,020901 industrial engineering & automation ,Control and Systems Engineering ,Robot ,Electrical and Electronic Engineering ,business - Abstract
Due to the trends of larger wafer diameters and smaller lot sizes, cluster tools need to switch from processing one lot of wafers to another frequently. This leads to more transient periods in wafer fabrication, which includes startup and close-down processes. Their efficient scheduling and control problems become more and more important. They become very difficult to solve especially when wafer residency time constraints must be considered. Most previous studies focused on the steady periodic schedule for cluster tools. Little research was on the transient processes of cluster tools despite their increasing importance. In order to optimize a startup transient process, this work develops a Petri net model to describe its behavior for a single-arm cluster tool. Then, based on the model, for the case that the workloads among the steps can be properly balanced, this work proposes a scheduling algorithm to find an optimal and feasible schedule for the startup process. For the other cases schedulable at the steady state, a linear programming model is developed to find an optimal and feasible schedule for the startup process. Finally, illustrative examples are given to show the applications of the proposed method.
- Published
- 2017
- Full Text
- View/download PDF
272. A nonlinearly normalized back propagation network and cloud computing approach for determining cycle time allowance during wafer fabrication
- Author
-
Toly Chen and Yi-Chi Wang
- Subjects
0209 industrial biotechnology ,Mathematical optimization ,Engineering ,business.industry ,General Mathematics ,Allowance (engineering) ,Cloud computing ,02 engineering and technology ,Upper and lower bounds ,Industrial and Manufacturing Engineering ,Backpropagation ,Computer Science Applications ,Wafer fabrication ,Cycle time ,Nonlinear system ,020901 industrial engineering & automation ,Control and Systems Engineering ,0202 electrical engineering, electronic engineering, information engineering ,Factory (object-oriented programming) ,020201 artificial intelligence & image processing ,business ,Software ,Simulation - Abstract
This study investigated the determination of the allowance that must be added to the cycle time estimate, which is a critical concern when assigning internal due dates. Because no method for estimating cycle times is completely accurate, producing such estimates remains problematic but has rarely been addressed in the literature. A large allowance postpones the internal due date, diminishing company appeal when a factory manager negotiates with a customer. Therefore, in this study, a nonlinear approach was proposed to normalize the cycle times. After estimating the cycle time of a job by using a back propagation network, the allowance added to the cycle time can be effectively reduced through the collaboration of several computing clouds. Theoretical properties of the proposed method were validated, and a case from a wafer fabrication factory was used to evaluate the effectiveness of the proposed method in comparison with various existing methods. According to the experimental results, the proposed method facilitated establishing tight upper bounds on the cycle times. The proposed method was proven to be very effective. A nonlinearly normalized BPN and cloud computing approach was proposed to establish tight upper bounds on the cycle time estimation.A case from a wafer fab was used to evaluate the effectiveness of the proposed method which was compared with various existing methods.The proposed methodology was improved by increasing the number of collaborating computing clouds.The proposed methodology was much more efficient under a cloud-computing environment than under other environments.
- Published
- 2017
- Full Text
- View/download PDF
273. Tractable Nonlinear Production Planning Models for Semiconductor Wafer Fabrication Facilities.
- Author
-
Jakob Asmundsson, Rardin, Ronald L., and Uzsoy, Reha
- Subjects
- *
PRODUCTION planning , *SEMICONDUCTOR wafers , *COMPUTER integrated manufacturing systems , *ELECTRONIC circuits , *PRODUCTION scheduling , *INVENTORY control , *MICROELECTRONICS , *PRODUCT management , *SIMULATION methods & models - Abstract
We describe a simulation study of a production planning model for multistage production inventory systems that reflects the nonlinear relationship between resource utilization and lead time. The model is based on the use of clearing functions that capture the nonlinear relationship between workload and throughput. We show how these clearing functions can be estimated from empirical data using a simulation model as a surrogate for observation of the production system under study. We then examine the sensitivity of the estimated clearing function to different dispatching algorithms, different demand patterns, and production planning techniques. Computational experiments based on a scaled-down model of a semiconductor wafer fabrication facility illustrate the potential benefits of the clearing function model relative to conventional linear programming models. [ABSTRACT FROM AUTHOR]
- Published
- 2006
- Full Text
- View/download PDF
274. An Effective Approach for Associating the Sources of Defect Signatures to Process Zones
- Author
-
Kamal Taha
- Subjects
0209 industrial biotechnology ,Fabrication ,Materials science ,020208 electrical & electronic engineering ,technology, industry, and agriculture ,Process (computing) ,02 engineering and technology ,Integrated circuit ,Condensed Matter Physics ,Wafer backgrinding ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,law.invention ,Wafer fabrication ,020901 industrial engineering & automation ,law ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Wafer testing ,Wafer ,Electrical and Electronic Engineering ,TRACE (psycholinguistics) - Abstract
A semiconductor wafer undergoes various processing steps before it is transformed from a plain silicon wafer to one populated with thousands of integrated circuits. Each of these processing steps is susceptible to specific types of defects. Some defects may not be captured by in-line inspection tools or may not be sampled during defect review-scanning electron microscope and are carried over multiple processing steps. Such defects can be discovered at the end of the fabrication procedure, which requires process engineers to trace back the manufacturing processes that caused these defects. Despite the success of most current methods that detect defects during wafer fabrication, most of these methods are inable to accurately associate the source of an individual defect type to a specific semiconductor processing step. We believe that this limitation can be overcome by considering the carry-over of defect signatures rather than individual defects. We propose in this paper a system called step-defect contribution analyzer (SDCA) that detects defect signatures on semiconductor wafers and overcomes the limitations outlined above. SDCA allows process engineers to trace back and associate the source of a final defectivity discovered at the end of the fabrication procedure to specific defect signatures carried over multiple process zones. We experimentally evaluated the quality of SDCA by measuring its prediction accuracy. Results revealed marked prediction accuracy.
- Published
- 2017
- Full Text
- View/download PDF
275. Scheduling of Single-Arm Cluster Tools for an Atomic Layer Deposition Process With Residency Time Constraints
- Author
-
Naiqi Wu, Zhiwu Li, Yan Qiao, MengChu Zhou, and FaJun Yang
- Subjects
0209 industrial biotechnology ,Mathematical optimization ,Schedule ,Job shop scheduling ,Semiconductor device fabrication ,Computer science ,Real-time computing ,Scheduling (production processes) ,Semiconductor device modeling ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,02 engineering and technology ,Petri net ,Computer Science Applications ,Scheduling (computing) ,Human-Computer Interaction ,Wafer fabrication ,Atomic layer deposition ,020901 industrial engineering & automation ,Control and Systems Engineering ,0202 electrical engineering, electronic engineering, information engineering ,020201 artificial intelligence & image processing ,Wafer ,Electrical and Electronic Engineering ,Software - Abstract
In semiconductor manufacturing, there are wafer fabrication processes with wafer revisiting. Some of them must meet wafer residency time constraints. Taking atomic layer deposition (ALD) as a typical wafer revisiting process, this paper studies the challenging scheduling problem of single-arm cluster tools for the ALD process with wafer residency time constraints. It is found that there are only several scheduling strategies that are applicable to this problem and one needs to apply each of them to decide whether a feasible schedule can be found or not. This work, for each applicable strategy, performs the schedulability analysis and derives the schedulability conditions for such tools for the first time. It proposes scheduling algorithms to obtain an optimal schedule efficiently if such conditions are met. It finally gives illustrative examples to show the application of the proposed concepts and approach.
- Published
- 2017
- Full Text
- View/download PDF
276. Optimal parameters for performant heterojunction InGaP/GaAs solar cell
- Author
-
F. Djaafar, Ghalem Bachir, and B. Hadri
- Subjects
Materials science ,Renewable Energy, Sustainability and the Environment ,Open-circuit voltage ,business.industry ,Photovoltaic system ,Doping ,Energy Engineering and Power Technology ,Heterojunction ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Mole fraction ,01 natural sciences ,law.invention ,010309 optics ,Wafer fabrication ,Fuel Technology ,Optics ,law ,0103 physical sciences ,Solar cell ,Optoelectronics ,0210 nano-technology ,business ,Common emitter - Abstract
We demonstrated mainly some of the different parameters effects -as a function of temperature-as window layers, thickness, and doping of the various layers (emitter, base and BSF) on the performances of InGaP/GaAs solar cell. First, we have varied the molar fraction of different layers; their thickness and the doping of both emitters and bases. We have registered the result of each variation until obtaining optimal parameters. In a second stage, we have simulated the InGaP/GaAs cell without window layers which results in η = 12.47% and η = 22.14% for eliminating top and bottom windows respectively. Then, the elimination of layer BSFs(back surface field) on the back face of the considered cell causes a remarkable decrease in open circuit voltage Voc and output η which reached 1.57 V and 11.95% respectively. In a last stage, we optimized and simulated the performances of the InGaP/GaAs dual-junction solar cell for its optimal parameters while varying its operation temperature from 300 K to 375 K with an increment of 25 °C using a virtual wafer fabrication TCAD Silvaco. The optimization at 300 K led to the following results Icc = 15.19 mA/cm−2, Voc = 2.53 V, FF = 91.32% and η = 25.43% which are close with those found in literature for In(1−x)Ga(x)P(x is molar fraction: x = 0.5). Therefore, we could determine the critical parameters of the cell and optimize its main parameters to obtain the highest performance for a dual junction solar cell. This work will pave the way with new prospects in the field of the photovoltaic. The structure simulation will simplify the manufacturing processes of solar cells; will thus reduce the costs while producing high outputs of photovoltaic conversion.
- Published
- 2017
- Full Text
- View/download PDF
277. A potentially significant on-wafer high-frequency measurement calibration error.
- Author
-
Rautio, J.C. and Groves, R.
- Abstract
High accuracy radio-frequency (RF) measurements typically require a calibration to remove the undesired effects of the measurement apparatus. The calibration consists of measuring some combination of known standards such as short, open, load, through, and delay. When measurements are performed on-wafer for silicon RF integrated circuits (RFICs), a two-step calibration/de-embedding technique is typically used. First, the measurement system is calibrated to a reference plane located at the probe tips through measurement of calibration standards fabricated on an impedance-standard substrate. Second, on-wafer de-embedding standards are measured in an attempt to shift the reference plane to the terminals of the device under test (DUT). While significant effort has gone into the development of improved on-wafer de-embedding schemes, discrepancies between actual and de-embedded data still exist. In this article, we first discuss a specific case (a spiral inductor on silicon) for which there was a significant discrepancy between measurement and analysis. The problem is found to be with the measurement. This problem is detailed, and a technique we call "synthetic calibration" is described that can be used with any electromagnetic (EM) analysis to quantify calibration error for any proposed set of calibration standards. Due to the high expense and time required for wafer fabrication, it is important to successfully complete such a calibration validation prior to tape-out. [ABSTRACT FROM PUBLISHER]
- Published
- 2005
- Full Text
- View/download PDF
278. Metaheuristic Scheduling of 300-mm Lots Containing Multiple Orders.
- Author
-
Peng Qu and Mason, Scott J.
- Subjects
- *
SEMICONDUCTOR wafers , *MATERIALS handling , *PRODUCTION scheduling , *SEMICONDUCTOR industry , *MANUFACTURING processes , *PRODUCTION control - Abstract
The standard unit of transfer in new semiconductor wafer fabrication facilities is the front opening unified pod (FOUP). Due to automated material handling system concerns, the number of FOUPs in a wafer fab is kept limited. Moreover, a certain number of new and larger 300-mm wafers will be placed in these FOUPs and this makes grouping orders from multiple customers into a job a necessity. Thereby, efficient utilization of the FOUP capacity while attaining good system performance is a challenge. We previously investigated optimization-based solution approaches for minimizing total weighted completion time and maximizing on-time delivery performance for the single machine multiple orders per job scheduling problem. We present two metaheuristic solution approaches for this scheduling problem under two different typical wafer fab machine environments: single unit processing and single lot processing. Experimental results demonstrate that the metaheuristic approaches can find near-optimal solutions for realistic-sized 300-mm scheduling problems in an acceptable amount of computation time. [ABSTRACT FROM AUTHOR]
- Published
- 2005
- Full Text
- View/download PDF
279. Due-date assignment in wafer fabrication using artificial neural networks.
- Author
-
Sha, D. Y. and Hsu, S. Y.
- Subjects
- *
ARTIFICIAL neural networks , *SIMULATION methods & models , *METHODOLOGY , *CUSTOMER services , *CUSTOMER satisfaction , *BENCHMARKING (Management) , *INDUSTRIAL engineering - Abstract
Due-date assignment (DDA) is the first important task of shop floor control in wafer fabrication. Due-date related performance is impacted by the quality of the DDA rules. Assigning order due dates and timely delivering the goods to the customer will enhance customer service and competitive advantage. A new methodology for lead-time prediction, artificial neural network (ANN) prediction is considered in this work. An ANN-based DDA rule combined with simulation technology and statistical analysis is developed. Besides, regression-based DDA rules for wafer fabrication are modelled as benchmarking. Whether neural networks can outperform conventional and regression-based DDA rules taken from the literature is examined. From the simulation and statistical results, ANN-based DDA rules perform a better job in due-date prediction. ANN-based DDA rules have a lower tardiness rate than the other rules. ANN-based DDA rules have better sensitivity and variance than the other rules. Therefore, if the wafer fab information is not difficult to obtain, the ANN-based DDA rule can perform better due-date prediction. The SFM_sep and JIQ in regression-based and conventional rules are better than the others. [ABSTRACT FROM AUTHOR]
- Published
- 2004
- Full Text
- View/download PDF
280. Heuristic algorithms for scheduling an automated wet-etch station
- Author
-
Bhushan, Swarnendu and Karimi, I.A.
- Subjects
- *
ETCHING , *BATCH processing , *HEURISTIC programming , *ALGORITHMS - Abstract
Wet-etching is a key step in wafer fabrication. A wet-etch station is a chemical batch process involving a complex interplay of mixed intermediate storage (MIS) policies and a shared robot for wafer transfers. Its operation poses a challenging resource-constrained scheduling problem that is crucial for enhancing productivity, improving yield and minimizing contamination. In this paper, we develop three new algorithms for scheduling wafer jobs for a given sequence, which comfortably outperform a literature algorithm in terms of solution quality without requiring excessive effort. Furthermore, we propose a simulated annealing (SA) algorithm for sequencing the wafer jobs. Using this SA algorithm, an existing sequencing algorithm based on tabu search (TS), two job-scheduling algorithms and two algorithms for initial job sequence, we identify eight complete algorithms for scheduling operations in an automated wet-etch station (AWS). After a thorough numerical evaluation, we conclude that the TS sequencing strategy combined with two of our three job-scheduling algorithms is the best option that yields up to 25–30% lower makespans than a literature algorithm, and requires acceptable computing times for industrial-scale problems. [Copyright &y& Elsevier]
- Published
- 2004
- Full Text
- View/download PDF
281. Estimating Method for Electron Beam Accelerating Voltage Used in Energy-Dispersive X-Ray Microanalysis: Application in Failure Analysis of Wafer Fabrication.
- Author
-
Hua, Younan
- Subjects
- *
X-ray microanalysis , *THIN films , *ELECTRON beams , *INTEGRATED circuits , *TITANIUM compounds , *MICROPROBE analysis - Abstract
Energy-dispersive x-ray microanalysis (EDX) techniques have been widely used for elemental analysis of thin film layers in wafer fabrication. However, during EDX analysis of thin film samples, sometimes it is difficult for us to identify elemental information of the surface layer from the underneath layers. Therefore, it is necessary for us to estimate the electron beam accelerating voltage used, and the penetration depth of the electron beam under this accelerating voltage before performing EDX analysis. In this particle, we will introduce a simple method/formula (Vi = kEi, where the value of k is a constant, the unit of Vi is in kV, and Ei is in keV) to estimate the electron beam accelerating voltage, which should be used for determining the element of interest, and discuss the penetration depth of the electron beam under different beam accelerating voltages. The actual beam accelerating voltage used for a characteristic x-ray line in EDX should be more than the theoretical value. In general, it is about 1.5–2.0 times that of the theoretical critical excitation energy. In this study, we have determined the over-voltages of the characterization x-ray lines of Al Ka, Si Ka, Ti Ka, W Ma, and W La, using TiN and TiW thin films and microchip Al bondpad (with Al/TiW/Ti metallization) samples. We have determined an experimental value of the over-voltage constant, k, which was about 1.42, and it is near to the low side of 1.5–2.0 times. Moreover, we will also discuss an application case in failure analysis of wafer fabrication on nonstick bondpads. [ABSTRACT FROM AUTHOR]
- Published
- 2004
- Full Text
- View/download PDF
282. A daily production model for wafer fabrication.
- Author
-
Ping-Feng Pai, Ching-En Lee, and Tzu-Haw Su
- Subjects
- *
INDUSTRIAL management , *SEMICONDUCTOR wafers , *PRODUCTION management (Manufacturing) , *PRODUCTION planning , *PRODUCTION scheduling , *MANUFACTURING processes - Abstract
The complex process and high variation in wafer fabrication make its production management very difficult. Problems such as planned target achievement and line balancing are not unusual in the industry. Such problems reveal the importance of developing a daily production policy for wafer fabrication. Planned target achievement and line balancing are the major concerns of this investigation in developing a daily production model. This investigation divides the process of wafer fabrication into two sections, i.e., the front and the rear, according to the last sputtering operation step. In the rear section, the objective is attaining the planned output target. In the front section, the major focus is to satisfy the demand of the rear section so that the production line is balanced. Release and dispatch policies are incorporated in this study to achieve both objectives. A real-world numerical example is used as simulation data. Results show that the proposed daily production model gives a better performance in the achievement of monthly planned output but suffers a little in the performance of line balancing. [ABSTRACT FROM AUTHOR]
- Published
- 2004
- Full Text
- View/download PDF
283. A Predictive Dispatching Rule Assisted by Multi-Layer Perceptron for Scheduling Wafer Fabrication Lines
- Author
-
Kuo-Yi Lin, Haolin Yang, Li Li, and Qingyun Yu
- Subjects
0209 industrial biotechnology ,010504 meteorology & atmospheric sciences ,Computer science ,Scheduling (production processes) ,02 engineering and technology ,01 natural sciences ,Computer Graphics and Computer-Aided Design ,Industrial and Manufacturing Engineering ,Computer Science Applications ,Wafer fabrication ,020901 industrial engineering & automation ,Multilayer perceptron ,Electronic engineering ,Integrated circuit fabrication ,Software ,0105 earth and related environmental sciences - Abstract
Reentrant flow plays an important role for the allocation of limited resources in semiconductor manufacturing. In particular, over- or under-loading of workstations may deteriorate performances of the whole production line. Therefore, load balancing is usually accomplished with dispatching rules to balance the workload to enhance production performance. Focus on the realistic needs, a novel prediction-based dynamic scheduling method with a multi-layer perceptron (MLP) is proposed for load balancing. This study proposed MLP based on the simulation dataset of empirical industrial fabrication facilities as the prediction model. The prediction outputs incorporated into the dynamic dispatching rule (DDR) for optimal load balancing based on the queue length at each workstation, named as a dynamic scheduling method considering load balancing (DSMLB). Based on the validation, DSMLB compared with the state-of-the-art dispatching rules shows that DSMLB has improved the daily movement, equipment utilization (EU), throughput rate, and cycle time (CT).
- Published
- 2020
- Full Text
- View/download PDF
284. Adaptive abstraction-level conversion framework for accelerated discrete-event simulation in smart semiconductor manufacturing
- Author
-
Daejin Park, Hessam S. Sarjoughian, Moon Gi Seok, Wentong Cai, and School of Computer Science and Engineering
- Subjects
0209 industrial biotechnology ,Speedup ,General Computer Science ,Semiconductor device fabrication ,Computer science ,Abstraction-level Conversion ,02 engineering and technology ,wafer fabrication ,01 natural sciences ,Abstraction layer ,Wafer fabrication ,010104 statistics & probability ,020901 industrial engineering & automation ,discrete-event modeling ,Abstraction-level conversion ,General Materials Science ,Wafer Fabrication ,smart manufacturing ,0101 mathematics ,Discrete event simulation ,Simulation ,Queueing theory ,Steady state ,General Engineering ,Process (computing) ,Unexpected events ,Electrical and electronic engineering [Engineering] ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,lcsh:TK1-9971 - Abstract
Speeding up the simulation of discrete-event wafer-fabrication models is essential for fast decision-making to handle unexpected events in smart semiconductor manufacturing because decision-parameter optimization requires repeated simulation execution based on the current manufacturing situation. In this paper, we present a runtime abstraction-level conversion approach for discrete-event fab models to gain simulation speedup. During the simulation, if the fab's machine group model reaches a steady state, then the proposed method attempts to substitute this group model with a mean-delay model (MDM) as a high abstraction level model. The MDM abstracts detailed event-driven operations of subcomponents in the group into an average delay based on the queuing modeling, which can guarantee acceptable accuracy in predicting the performance of steady-state queuing systems. To detect the steadiness, the proposed abstraction-level converter (ALC) observes the queuing parameters of low-level groups to identify the statistical convergence of each group's work-in-progress (WIP) level. When a group's WIP level is converged, the output-to-input couplings between the models are revised to change a wafer-lot process flow from the low-level group to a MDM. When the ALC detects lot-arrival changes or any wafer processing status change (e.g., a machine-down), the high-level model is switched back to its corresponding low-level group model. During high-to-low level conversion, the ALC generates dummy wafer-lot events to re-initialize the machine states. The proposed method was applied to various case studies of wafer-fab systems and achieved simulation speedups up to about 4 times with 0.6 to 8.3% accuracy degradations. Agency for Science, Technology and Research (A*STAR) Published version This research is supported by Agency for Science, Technology and Research (A*STAR) Singapore, under its Research Innovation Enterprise (RIE) 2020 Advanced Manufacturing and Engineering (AME) Industry Alignment Fund-Pre-Positioning (IAF-PP) Program Grant No. A19C1a0018, and partially supported by Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science and ICT (NRF2019R1A2C2005099, NRF2018R1A6A1A03025109).
- Published
- 2020
285. Efficient approach to scheduling of transient processes for time-constrained single-arm cluster tools with parallel chambers
- Author
-
Yuting Zhu, Rong Su, FaJun Yang, Naiqi Wu, Kaizhou Gao, Yan Qiao, Ian Ware Simon, and School of Electrical and Electronic Engineering
- Subjects
Cluster Tools ,0209 industrial biotechnology ,Schedule ,Job shop scheduling ,Computer science ,02 engineering and technology ,Parallel computing ,Petri net ,Computer Science Applications ,Scheduling (computing) ,Human-Computer Interaction ,Wafer fabrication ,020901 industrial engineering & automation ,Control and Systems Engineering ,Petri Net (PN) ,0202 electrical engineering, electronic engineering, information engineering ,Electrical and electronic engineering [Engineering] ,020201 artificial intelligence & image processing ,Wafer ,Electrical and Electronic Engineering ,Software - Abstract
In wafer manufacturing, extensive research on the operations of cluster tools under the steady state has been reported. However, with the shrinking down of wafer lot size, such tools are frequently required to switch from handling one lot of wafers to another, resulting in more transient processes, including start-up and close-down ones. Also, wafer residency time constraint is critical for many wafer fabrication processes. To cope with the transient scheduling problem of time-constrained single-arm cluster tools with parallel chambers, based on a generalized backward strategy, this paper first builds timed Petri net models for these two transient processes. Then, two linear programs are derived for the first time to search a feasible schedule with a minimal makespan. Two industrial examples are given to demonstrate the effectiveness of the obtained results at last. Accepted version
- Published
- 2020
286. Nano-precision measurement of diamond tool edge radius for wafer fabrication
- Author
-
Li, X.P., Rahman, M., Liu, K., Neo, K.S., and Chan, C.C.
- Subjects
- *
COPPER , *ELECTRON microscopes , *ERRORS , *PAPER - Abstract
In this paper, a non-destructive nano-precision measurement method for diamond tool cutting edge radius is presented. The basis of the method is that the profile of a tool cutting edge can be copied by indenting the tool cutting edge into the surface of a selected material, and that the copy of the profile can be measured at nano-precision level using AFM. The selected material elastic error compensation coefficient has to be determined to cancel out the effect of elastic spring-back. Copper was selected as the indentation piece material due to its (1) high rigidity and high density, (2) large Young’s modulus and (3) low yield strength. The elastic error compensation coefficient for the copper material is determined through the indentation of a tungsten carbide tool edge on the copper surface. By comparing the actual tool edge radius measured using scanning electron microscope (SEM) on the sectional view of the tungsten carbide tool with the one measured from the copied profile of the tool edge on the copper surface, the coefficient is obtained. Three diamond tool edge radii were obtained using the proposed method. Analysis is given for the accuracy of the proposed method, showing that as far as the error elastic compensation coefficient is consistent with the copper material used, the only source of errors with the measurement will come from the device for measuring the indented profile on the surface. [Copyright &y& Elsevier]
- Published
- 2003
- Full Text
- View/download PDF
287. A simulated annealing algorithm for integration of shop floor control strategies in semiconductor wafer fabrication.
- Author
-
Sha, D. Y. and Chao-Yang Liu
- Subjects
- *
SIMULATED annealing , *ALGORITHMS , *COMMAND & control systems , *SEMICONDUCTOR wafers , *SEMICONDUCTOR industry , *MANUFACTURING processes , *PRODUCTION engineering , *INDUSTRIAL management - Abstract
The semiconductor manufacturing industry is one of the most important industries in Taiwan. Wafer fabrication is an essential process in semiconductor manufacturing. However, controlling the production system on the shop floor is extremely difficult owing to the complicated manufacturing process and reentrant characteristics. In this paper, the shop floor control (SFC) integration strategies (order review/release, dispatching, and rework strategies) in wafer fabrication are considered with using several performances. We reviewed the literature on SFC strategies in wafer fabrication. The proposed combination simulation and simulated annealing (SA) algorithm is presented for SFC strategies in wafer fabrication. The objective was to seek the near global optimum solution for the combination of SFC strategies for a specific performance indicator. From the results, the proposed methodology was found to perform well for combinations of SFC strategies using different performance indicators in wafer fabrication. However, no single combination of SFC strategies could satisfy all performance indicators. Hence, considering the trade-off among these production control strategies, a suitable strategy should be chosen based on the system control tactics. Considerable computational time was saved in this research. [ABSTRACT FROM AUTHOR]
- Published
- 2003
- Full Text
- View/download PDF
288. Development of a state-dependent dispatch rule using theory of constraints in near-real-world wafer fabrication.
- Author
-
Tyan, Jonah C., Chen, James C., and Wang, Fu-Kwun
- Subjects
THEORY of constraints ,SEMICONDUCTOR wafers - Abstract
Wafer fabrication industries encounter challenging tasks to justify their performance among conflicting measures when making dispatch policy decisions. A state-dependent dispatch rule is developed to improve overall system performance, which consists of cycle time, work in process, throughput and due date performance. The theory of constraints is adopted as guiding principle to derive the state-dependent dispatch rule. Three state variables (machine utilization, machine queue length, and contention factor) and three dispatch rules (two boundary, shortest time to next visit, and fastest time for next visit) are considered to construct the dispatch rule. Response surface methodology is also applied to this study. A near-realworld fab model is developed to test the performance of the new rule. The simulation results show that the TOC-based state dependent dispatch rule improves four performance measures simultaneously. [ABSTRACT FROM AUTHOR]
- Published
- 2002
- Full Text
- View/download PDF
289. The role of photomask resolution on the performance of arrayed-waveguide grating devices.
- Author
-
Lee, C.D., Wei Chen, Qiang Wang, Yung-Jui Chen, Beard, W.T., Stone, D., Smith, R.F., Mincher, R., and Stewart, I.R.
- Abstract
The crosstalk performance of an arrayed-waveguide grating (AWG) multiplexer or demultiplexer is primarily caused by random optical phase errors introduced in the arrayed waveguides. Because the layout of waveguides on a wafer is patterned via photomask through the photolithography process, the resolution of a photomask has a direct influence on the phase errors of an AWG. The paper presents a theoretical analysis on the phase error caused by photomask resolution and other basic design parameters. Both calculation and measurement results show that a high-resolution photomask (better than 25 nm) is a critical requirement to produce low-crosstalk (less than -30 dB) AWG demultiplexers. We also investigate the effect of nonideal power distribution in the arrayed waveguides because it contributes considerable phase errors when material impurity is not well controlled during wafer fabrication. Basic criteria of power profile truncation, number of grating waveguides, and material index variation are also summarized [ABSTRACT FROM PUBLISHER]
- Published
- 2001
- Full Text
- View/download PDF
290. Factors Contributing to the Defect in Wafer Fabrication Process: A Case Study of Manufacturer in Semiconductors Product
- Author
-
Shatina Saad, Nur Athirah, Norina Ahmad Jamil, Mashitah Mohamed Esa, and Nor Azian Abdul Rahman
- Subjects
Health (social science) ,Materials science ,General Computer Science ,business.industry ,General Mathematics ,General Engineering ,Process (computing) ,Education ,Wafer fabrication ,General Energy ,Semiconductor ,Product (mathematics) ,Process engineering ,business ,General Environmental Science - Published
- 2018
- Full Text
- View/download PDF
291. On the Consequences of Un-Modeled Dynamics to the Optimality of Schedules in Clustered Photolithography Tools
- Author
-
Hyeong-Ook Kim, James R. Morrison, Se-Hyeon Park, and Jung Yeon Park
- Subjects
0209 industrial biotechnology ,Schedule ,Mathematical optimization ,Job shop scheduling ,Computer science ,Tardiness ,Scheduling (production processes) ,Recursion (computer science) ,02 engineering and technology ,Wafer fabrication ,020901 industrial engineering & automation ,0202 electrical engineering, electronic engineering, information engineering ,020201 artificial intelligence & image processing ,Affine transformation ,Throughput (business) - Abstract
Clustered photography tools (CPTs) are very complex and can substantially influence the throughput of wafer fabrication facilities. Therefore, efficient lot scheduling for CPTs can directly improve fab performance. In this paper, we develop mixed integer linear programs for linear, affine, exit recursion, and flow line models of CPTs to optimize schedules with respect to mean cycle time, makespan, and tardiness. We simulate a true CPT using a flow line and solve the MILPs for other above mentioned, reduced models. Schedules from reduced models are then input into the flow line optimization model in order to evaluate the loss. Using numerical experiments, we show that exit recursion models outperform other models. Under time limits, exit recursion models exhibit at least 6% better performance than flow lines for large problems on cycle time.
- Published
- 2019
- Full Text
- View/download PDF
292. Influence of spare parts service measures on the performance of front-end wafer production process
- Author
-
Douniel Lamghari-Idrissi, Rob J.I. Basten, Nico Dellaert, Daniel Soellaart, and Operations Planning Acc. & Control
- Subjects
Service (business) ,021103 operations research ,Computer science ,Supply chain ,05 social sciences ,0211 other engineering and technologies ,Process (computing) ,02 engineering and technology ,Hardware_PERFORMANCEANDRELIABILITY ,Bottleneck ,Reliability engineering ,Wafer fabrication ,Resource (project management) ,Spare part ,0502 economics and business ,Hardware_INTEGRATEDCIRCUITS ,Wafer ,050203 business & management - Abstract
We are interested in the influence of spare part service measures on the performance of front-end wafer fabrication process. This process is characterized by re-entrant flows exacerbating variability differences. We focus on the bottleneck resource. First, we simulate the spare part supply chain to show the impact of the spare part service measures on the time to repair distribution. Second, we use this distribution to assess the performance of the front-end wafer fabrication process. We conclude that the choice of the spare parts service measure has a high impact on the front-end wafer fabrication process performance. Our methodology could help practitioners making improved decisions regarding spare parts service measure.
- Published
- 2019
293. Research on Chip Test Method for Improving Test Quality
- Author
-
Feng Xi, Yi Hu, Xiaoke Tang, and He Yan
- Subjects
010302 applied physics ,Hardware_MEMORYSTRUCTURES ,Computer science ,media_common.quotation_subject ,Code coverage ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Test method ,Chip ,01 natural sciences ,020202 computer hardware & architecture ,Test (assessment) ,Reliability engineering ,Wafer fabrication ,Reliability (semiconductor) ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Quality (business) ,Early failure ,media_common - Abstract
As the integration level of chip increases, the mass testing after wafer fabrication has become more and more complex. How to improve the quality of chip testing has become an important issue. In this paper, several chip test methods that can be used for mass production are studied in combination with our actual test examples. These methods can improve the chip test coverage, reduce the early failure rate of the chip and improve the chip reliability, thus improving the quality of the chip test.
- Published
- 2019
- Full Text
- View/download PDF
294. Die-to-wafer Bonding: Comparison of Designing, Processing and Assembling of Different Approaches
- Author
-
Ling Xie, Ser Choong Chong, Qin Ren, and Hongyu Li
- Subjects
Materials science ,Wafer bonding ,business.industry ,Three-dimensional integrated circuit ,Hardware_PERFORMANCEANDRELIABILITY ,Chip stacking ,Die (integrated circuit) ,Wafer fabrication ,Reliability (semiconductor) ,Soldering ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Wafer ,business - Abstract
Solder bonding and Cu-Cu bonding are studied and compared to see which method is the best packaging solution for 3D IC packaging. By using real memory wafers, a typical 3D IC structure of 4-layer chip stacking are built up by the two bonding methods. The comparison covers design, wafer fabrication, assembly and reliability.
- Published
- 2019
- Full Text
- View/download PDF
295. Optimal Scheduling of Combined Wafer Fabrication Equipment Taking Mechanical Arms as Buffers
- Author
-
Bin Sun, Yilin Wang, Meiling Feng, and Junqing Sun
- Subjects
Wafer fabrication ,Computer science ,Manufacturing process ,Optimal scheduling ,Scheduling (production processes) ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,Wafer ,Optimal control ,Reliability engineering - Abstract
This paper addresses the problem of optimal scheduling of combined dual-arm wafer fabrication equipment which may take its two mechanical arms as temporary buffers during manufacturing process. Firstly, the mathematical model is proposed to describe the problem of the optimal scheduling of the mechanical arms and processing cavities of the combined dual-arm wafer fabrication equipment. The model takes minimizing the average processing time for each wafer as its objective. Then, a heuristic algorithm is designed to solve the problem. Finally, this paper employs some data cases which come from practical production of wafer to verify the validity of the algorithm and the method. The experimental results show that the efficiency of the combined wafer manufacturing equipment can be increased greatly through taking its two mechanical arms as temporary buffers.
- Published
- 2019
- Full Text
- View/download PDF
296. High temperature baking process study in advanced mask cleaning
- Author
-
Qin Xuefei, Dejian Li, Wenjun Ling, Cong Lu, Jie Wang, and Fen Xue
- Subjects
Wafer fabrication ,Materials science ,business.industry ,Process study ,Chemical residue ,Process engineering ,business - Abstract
High temperature baking treatment is a method to remove chemical residue on mask before shipping to wafer fab. When developing advanced mask technology, we need to make sure the bake treatment have no side-effect to mask quality. In this investigation, some test has been devised to study the relation between baking process and mask registration, CD movement, repaired point, ion residue and cleaning performance. We also studied how to setup a stable and efficient bake process to make the mask making flow reasonable. The high temperature bake processes was tuned by different temperature, treatment loops setting and was put at different process position to verify the performance. In this paper, OMOG and EAPSM masks were chosen to test by different process condition.
- Published
- 2019
- Full Text
- View/download PDF
297. 193nm mask inspection challenges and approaches for 7nm/5nm technology and beyond
- Author
-
Ariel Shkalim, Alexander Chereshnya, Paul Crider, Oren Cohen, Oded Dassa, Ronen Madmon, Evgeny Bal, Ori Petel, and Boaz Cohen
- Subjects
Wafer fabrication ,Resist ,Computer science ,Matched filter ,Extreme ultraviolet lithography ,Electronic engineering ,Node (circuits) ,Mask inspection ,Aerial image ,Metrology - Abstract
193nm mask inspection will remain a viable solution for inspection of ArF technology masks for the 7nm/5nm technology node and beyond, even in the era of EUV lithography. In the ArF technology, pitch multiplication (SADP, SAQP, etc.) will continue to be used along with aggressive OPCs to achieve scaling. Although no major technology inflection is seen, mask capacities will continue to grow until EUV will be fully inserted into mass production. As a result, mask inspection sensitivity and defect dispositioning will remain a gating factor. Moreover, mask metrology will become a critical factor in wafer fabrication and process control. In this paper, the mask inspection challenges for 7nm/5nm and beyond are described and suggested solutions are outlined. One of the main challenges in mask pattern inspection is reducing false defects by filtering the additive white Gaussian noise (AWGN) added to the pattern image (e.g. shot-noise). Common solutions for reducing AWGN are: creating multi reference (such as ‘cell to cell’ and ‘die to many dies’) and spatial averaging (such as ‘matched filter’). However, extra sensitivity is needed at 7nm/5nm technology inspection where defect signal is very weak and close to the noise level. We propose the ‘Multi-Shot’ method as a solution for this problem. ’Multi Shot’ is based on multiple acquisitions and inspections of every location in the mask. The ‘Multi-Shot’ information is exploited through the entire detection flow, taking advantage of information that cannot be used independently such as: defect polarity (random noise does not retain polarity over multiple instances while real defects do), averaged signal and defect rank (local SNR). The added throughput impact of the ‘Multi-Shot’ approach is negligible due to pixel-size optimization. Theoretical framework predicts a ~30% sensitivity (SNR) increase by this method over current approaches, corroborated by experimental data testing. Another significant inspection challenge is the difference between defect measurement methods. The captive mask shops, the merchants and wafer FABs all are interested in the amount of edge dispositioning caused by the defects, measured in units of nm, while traditionally the inspection output is defined by pattern intensity changes due to the defect, measured in grey level units. Translation from intensity to edge dispositioning requires two conditions: The first- applying an Aerial imaging with exact exposure conditions which enables correct dispositioning assessment; and the second- estimating a gray level threshold (print threshold) to be used to convert an Aerial image to a binary printing image (as an equivalent to the resist threshold used in wafer fabrication). Defect dispositioning measurement enables nuisance filtering (by ignoring non-printing defects and defects with very small dispositioning values even if they have high intensity values). The innovation of the solution described in this paper is the integration of metrology and inspection to provide robust detection solutions. 193nm wavelength inspection will continue to be a critical factor in mask manufacturing as well as one of the strongest candidates available today for the initial EUV mask inspection approach. In this aspect we are working to implement ArF new development for future EUV mask inspections.
- Published
- 2019
- Full Text
- View/download PDF
298. Lithography printability review: an application on advanced photomask production for enhancing mask yield and cycle time
- Author
-
Mingjing Tian, Wen Jun Ling, Fen Xue, De Jian Li, Alexander Tan, Cong Lu, Gregg Inderhees, Xue Fei Qin, Suo Li, Ming Chen, Xiao Di Liu, Jie Wang, and Vikram L. Tolani
- Subjects
Production line ,Wafer fabrication ,Scanner ,Computer science ,business.industry ,Hardware_INTEGRATEDCIRCUITS ,Mask inspection ,Photomask ,business ,Lithography ,Critical dimension ,Computer hardware ,Metrology - Abstract
In the advanced technology photomask manufacturing industry, it is challenging to produce defect-free photomasks, especially for the increasingly smaller critical dimension current days . Since the 193nm immersion scanner numerical aperture (1.35) has remained the same as in previous nodes, more mult i-patterning and aggressive source mask optimizat ion illumination sources are being used to print smaller feature crit ical dimensions (CDs) and pitches. To accommodate such specialized sources, more model -based mask OPC and ILT are being used, making mask designs very complicated. This in turn makes mask manufacturing very challenging , especially for the defect inspection, repair, and metrology processes that are used to guarantee defect-free masks. So, it is necessary to develop an application for handling mask defects. In this paper, we introduce a new application called LPR (Lithography Printability Review) to verify any outlier defects or repairs before the mask ships to the wafer fab. The paper details how LPR works in the mask-making flow and how the LPR module is set up. This application has been tightly integrated with KLA’s server and inspectors. The paper concludes with showing the benefits realized in mask making cycle time as a result of implementing LPR into a high volume advanced photomask production line.
- Published
- 2019
- Full Text
- View/download PDF
299. Demonstrating the value of integrated reticle automation solutions in high volume wafer fab manufacturing
- Author
-
Jinghua Zeng, Kunal Rohilla, Jeffery Liang, Donghwan Song, Yanghui Liu, Wei Chen, Frank Cm Wu, Alexander Tan, Yousheng Yin, Chin Kuei Chang, and Chain Ping Chen
- Subjects
Wafer fabrication ,business.industry ,Computer science ,Reticle ,Redundancy (engineering) ,Production efficiency ,Operational costs ,business ,Automation ,Reliability engineering - Abstract
In an advanced IC fab, reticle inspection issues are critical as even one killer defect on the reticle can potentially affect thousands of wafers. Human errors such as defect mis-classification may lead to 70% of reticle issues that may affect production efficiency or even impact yield. With the adoption of RET techniques like aggressive OPC and SRAF combined with increasing MEEF and smaller defects, reticle dispositioning is becoming even harder and very time consuming in production. Even an experienced engineer may make a mistake especially when dealing with 40nm and below design nodes. The concept of automation to prevent mistakes in operation has been promoted for many years but a comprehensive solution which covers intelligent task assignment and auto reticle dispositioning in volume production has been missing. Working together with KLA, USCXM proposed a detailed methodology to overcome the above difficulties. From the very beginning, USCXM used Systematic Auto Recipe Creation (SARC) to create recipes for reticle inspections even before the reticles arrived in the fab. Also, an “OHT taxi mode” to improve pod utilization combined with the Reticle Management System (RMS) decision tree algorithm intelligently determined reticle inspection frequency based on wafer requirement and tool redundancy. Finally, USCXM automated final reticle dispositioning steps, such as, auto-releasing or auto-holding the reticle based on KLA’s Reticle Analyzer (RA) results. The overall implementation resulted in 25% improvement in inspection capacity and 50% reduction in operational cost compared to the traditional flow. Further, 92% accuracy for reticle auto-dispositioning was achieved with zero under-estimation. This integrated flow has proven to be invaluable for USCXM and is now deployed in full volume reticle manufacturing production.
- Published
- 2019
- Full Text
- View/download PDF
300. The Infrastructure Buildout: A Detailed Look
- Author
-
Charles W. Wessner and Thomas R. Howell
- Subjects
Wafer fabrication ,Engineering ,biology ,Process (engineering) ,business.industry ,Semiconductor device fabrication ,Electric power ,Saratoga ,business ,biology.organism_classification ,Manufacturing engineering - Abstract
The transportation, water, and electric power infrastructure necessary to support semiconductor manufacturing in Saratoga County did not exist at the time the corporate predecessor of GlobalFoundries committed to build a wafer fabrication plant at a local site. A wide-ranging effort to secure regulatory approvals and build new infrastructure was required. Although this process encountered delays and setbacks, by the time the fab was built and became operational, the necessary infrastructure was in place.
- Published
- 2019
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.