Search

Your search keyword '"Horiguchi, N."' showing total 599 results

Search Constraints

Start Over You searched for: Author "Horiguchi, N." Remove constraint Author: "Horiguchi, N."
599 results on '"Horiguchi, N."'

Search Results

201. Thermal and Plasma Treatments for Improved (Sub-)1nm EOT Planar and FinFET-based RMG High-k Last Devices and Enabling a Simplified Scalable CMOS Integration Scheme

202. Impact of Al2O3 position on performances and reliability in high-k metal gated DRAM periphery transistors

206. (Invited) Stress Simulations of Si- and Ge-Channel FinFETs for the 14 nm-Node and Beyond

211. Standard cell level parasitics assessment in 20nm BPL and 14nm BFF

212. Stress simulations for optimal mobility group IV p- and nMOS FinFETs for the 14 nm node and beyond

214. Phosphorus doped SiC Source Drain and SiGe channel for scaled bulk FinFETs

215. W vs. Co-Al as Gate Fill-Metal for Aggressively Scaled Replacement High-k/Metal Gate Devices for (Sub-)22nm Tech. Nodes

217. RMG Tech. Integration in FinFET Devices

218. Effective Work Function Engineering for Aggressively Scaled Planar and FinFET-based Devices with High-k Last Replacement Metal Gate Tech.

220. Device Architectures and Their Integration Challenges for 1x nm node: FinFETs with High Mobility Channel

222. Low-power DRAM-compatible Replacement Gate High-k/Metal Gate stacks

224. Atom Probe Tomography for 3D-dopant analysis in FinFET devices

226. Process control & integration options of RMG technology for aggressively scaled devices

228. Superior reliability and reduced Time-Dependent variability in high-mobility SiGe channel pMOSFETs for VLSI logic applications

229. Impact of single charged gate oxide defects on the performance and scaling of nanoscaled FETs

230. Impact of fin height variations on SRAM yield

231. On the rseries extraction techniques for sub-22nm CMOS finfet and SiGe technologies

234. Dual-channel technology with cap-free single metal gate for high performance CMOS in gate-first and gate-last integration

235. High performance Si.45Ge.55 Implant Free Quantum Well FET featuring low temperature process, eSiGe stressor and transversal strain relaxation

236. Superior NBTI reliability of SiGe channel pMOSFETs: Replacement gate, FinFETs, and impact of Body Bias

237. 3D-carrier profiling in FinFETs using scanning spreading resistance microscopy

238. Investigation of the Electrical Properties of Ge/High-k Gate Stack: GeO2 VS Si-cap

242. Novel Approach to Conformal FINFET Extension Doping

245. Dopant and carrier profiling in FinFET-based devices with sub-nanometer resolution

246. Ion-implantation-based low-cost Hk/MG process for CMOS low-power application

247. High yield sub-0.1µm2 6T-SRAM cells, featuring high-k/metal-gate finfet devices, double gate patterning, a novel fin etch strategy, full-field EUV lithography and optimized junction design & layout

Catalog

Books, media, physical & digital resources