212 results on '"Seokhyeong Kang"'
Search Results
102. Smart non-default routing for clock power reduction.
- Author
-
Andrew B. Kahng, Seokhyeong Kang, and Hyein Lee 0001
- Published
- 2013
- Full Text
- View/download PDF
103. Construction of realistic gate sizing benchmarks with known optimal solutions.
- Author
-
Andrew B. Kahng and Seokhyeong Kang
- Published
- 2012
- Full Text
- View/download PDF
104. TAP: token-based adaptive power gating.
- Author
-
Andrew B. Kahng, Seokhyeong Kang, Tajana Rosing, and Richard D. Strong
- Published
- 2012
- Full Text
- View/download PDF
105. Sensitivity-guided metaheuristics for accurate discrete gate sizing.
- Author
-
Jin Hu, Andrew B. Kahng, Seokhyeong Kang, Myung-Chul Kim, and Igor L. Markov
- Published
- 2012
- Full Text
- View/download PDF
106. MAPG: Memory access power gating.
- Author
-
Kwangok Jeong, Andrew B. Kahng, Seokhyeong Kang, Tajana Simunic Rosing, and Richard D. Strong
- Published
- 2012
- Full Text
- View/download PDF
107. Accuracy-configurable adder for approximate arithmetic designs.
- Author
-
Andrew B. Kahng and Seokhyeong Kang
- Published
- 2012
- Full Text
- View/download PDF
108. Reinforcement Learning-Based Power Management Policy for Mobile Device Systems
- Author
-
Jongho Yoon, Eunji Kwon, Seokhyeong Kang, Yoonho Park, and Sodam Han
- Subjects
Power management ,Computer science ,business.industry ,Embedded system ,Quality of service ,Overhead (computing) ,Reinforcement learning ,Multiprocessing ,Electrical and Electronic Engineering ,MPSoC ,Frequency scaling ,business ,Mobile device - Abstract
This paper presents a power management policy that utilizes reinforcement learning to increase the power efficiency of mobile device systems based on a multiprocessor system-on-a-chip (MPSoC). The proposed policy predicts a system’s characteristics and learns power management controls to adapt to the variations in the system. We consider the behavioral characteristics of systems that run on mobile devices under diverse scenarios. Therefore, the policy can flexibly manage the system power regardless of the application scenario and achieve lower energy consumption without compromising the user satisfaction. The average energy per unit quality of service (QoS) of the proposed policy is lower than that of the previous six dynamic voltage/frequency scaling governors by 31.66%. Furthermore, we reduce the runtime overhead by implementing the proposed policy as hardware. We implemented the policy on the field programmable gate array (FPGA) and construct a communication interface between the central processing units (CPUs) and the hardware of the proposed policy. Decision-making by the hardware-implemented policy is 3.92 times faster than by the software-implemented policy.
- Published
- 2021
- Full Text
- View/download PDF
109. Designing a processor from the ground up to allow voltage/reliability tradeoffs.
- Author
-
Andrew B. Kahng, Seokhyeong Kang, Rakesh Kumar 0002, and John Sartori
- Published
- 2010
- Full Text
- View/download PDF
110. Slack redistribution for graceful degradation under voltage overscaling.
- Author
-
Andrew B. Kahng, Seokhyeong Kang, Rakesh Kumar 0002, and John Sartori
- Published
- 2010
- Full Text
- View/download PDF
111. Toward effective utilization of timing exceptions in design optimization.
- Author
-
Kwangok Jeong, Andrew B. Kahng, and Seokhyeong Kang
- Published
- 2010
- Full Text
- View/download PDF
112. Recovery-driven design: a power minimization methodology for error-tolerant processor modules.
- Author
-
Andrew B. Kahng, Seokhyeong Kang, Rakesh Kumar 0002, and John Sartori
- Published
- 2010
- Full Text
- View/download PDF
113. Low-Power Ternary Multiplication Using Approximate Computing
- Author
-
Seokhyeong Kang, Youngchang Choi, Seunghan Baek, Sunmean Kim, and Yesung Kang
- Subjects
Adder ,Mean absolute percentage error ,Logic gate ,Multiplier (economics) ,Multiplication ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Electrical and Electronic Engineering ,Ternary operation ,Algorithm ,Energy (signal processing) ,Hardware_LOGICDESIGN ,Power (physics) ,Mathematics - Abstract
We propose a novel approximate computing technique for low-power ternary multiplication. A carry-truncated ternary multiplier, error compensation circuits, and $2 \times 2$ ternary multipliers with various accuracies are proposed using the low-power design methodology with carbon nanotube FETs. An accuracy-configurable design method is proposed to design energy-efficient $6 \times 6$ approximate ternary multipliers. The energy benefit of the proposed $6 \times 6$ approximate ternary multipliers have been verified using HSPICE simulation. The proposed approximate design shows 82.8% power-delay product with 41.8% mean absolute percentage error improvement over the previous approximate multiplier-based design. Image processing applications are conducted using the proposed approximate designs to confirm that the accuracy of ternary multiplication is satisfied the user’s requirement.
- Published
- 2021
- Full Text
- View/download PDF
114. Enhanced Power Delivery Pathfinding for Emerging 3-D Integration Technology
- Author
-
Bangqi Xu, Seokhyeong Kang, Seungwon Kim, and Andrew B. Kahng
- Subjects
Interconnection ,Computer science ,business.industry ,Circuit design ,02 engineering and technology ,Integrated circuit ,020202 computer hardware & architecture ,law.invention ,Hardware and Architecture ,law ,Logic gate ,Embedded system ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,Power network design ,Pathfinding ,business ,Design methods ,Software - Abstract
In advanced technology nodes, emerging 3-D integration technology is a promising “More Than Moore” lever for continued scaling of system capability and value. In the 3-D integrated circuit (3-D IC) implementation, the power delivery network (PDN) is crucial to meeting design specifications. However, determining the optimal PDN design is nontrivial. On the one hand, to meet the voltage (IR) drop requirement, a denser power mesh is desired. On the other hand, to meet the timing requirement, more routing resource is needed for signal routing. Moreover, additional competition between signal routing and power routing is caused by intertier vertical interconnects in 3-D IC. In this article, we propose a power delivery pathfinding methodology for emerging 3-D integration, which seeks to identify a “near-optimal” (or, very high quality) PDN for a given BEOL stack, vertical interconnection, and PDN specification. Compared with previous works, our methodology can explore richer solution spaces as it supports different PDN layer combinations and PDN layer configurations. We develop models for routability and worst IR drop to help reduce iterations between PDN design and circuit design in 3-D IC implementation. We present validations and demonstrate improvement in IR drop and routability with real design blocks in 28- and 14-nm foundry technology nodes.
- Published
- 2021
- Full Text
- View/download PDF
115. Skew control methodology for useful-skew implementation.
- Author
-
SangGi Do, Seungwon Kim, and Seokhyeong Kang
- Published
- 2016
- Full Text
- View/download PDF
116. Many-Core Token-Based Adaptive Power Gating.
- Author
-
Andrew B. Kahng, Seokhyeong Kang, Tajana Simunic Rosing, and Richard D. Strong
- Published
- 2013
- Full Text
- View/download PDF
117. Enhancing the Efficiency of Energy-Constrained DVFS Designs.
- Author
-
Andrew B. Kahng, Seokhyeong Kang, Rakesh Kumar 0002, and John Sartori
- Published
- 2013
- Full Text
- View/download PDF
118. Demonstration of Anti-ambipolar Switch and Its Applications for Extremely Low Power Ternary Logic Circuits
- Author
-
Yongsu Lee, Sunmean Kim, Ho-In Lee, Seung-Mo Kim, So-Young Kim, Kiyung Kim, Heejin Kwon, Hae-Won Lee, Hyeon Jun Hwang, Seokhyeong Kang, and Byoung Hun Lee
- Subjects
General Engineering ,General Physics and Astronomy ,General Materials Science - Abstract
Anti-ambipolar switch (AAS) devices at a narrow bias region are necessary to solve the intrinsic leakage current problem of ternary logic circuits. In this study, an AAS device with a very high peak-to-valley ratio (∼10
- Published
- 2022
119. Recovery-Driven Design: Exploiting Error Resilience in Design of Energy-Efficient Processors.
- Author
-
Andrew B. Kahng, Seokhyeong Kang, Rakesh Kumar 0002, and John Sartori
- Published
- 2012
- Full Text
- View/download PDF
120. Additive Statistical Leakage Analysis Using Exponential Mixture Model
- Author
-
Hyunjeong Kwon, Seokhyeong Kang, Sung-Yun Lee, and Young Hwan Kim
- Subjects
Analytic model ,02 engineering and technology ,Mixture model ,Computer Graphics and Computer-Aided Design ,020202 computer hardware & architecture ,Exponential function ,Data modeling ,Interface circuits ,0202 electrical engineering, electronic engineering, information engineering ,Design process ,Electrical and Electronic Engineering ,Algorithm ,Random variable ,Software ,Mathematics ,Leakage (electronics) - Abstract
Variation-aware leakage analysis becomes an essential design process as the technology node continuously shrinks. This article proposes a novel additive statistical leakage analysis method that uses exponential mixture model (EMM) to estimate the leakage distribution. Using a few leakage data for sub-blocks of an input circuit, we estimate any shape of leakage distribution regardless of new process nodes or operating conditions. Leakage distribution of an input circuit can be obtained by adding the leakage distributions of the sub-blocks. The proposed addition step sequentially adds the leakage distributions of sub-blocks that are expressed as EMMs. Before the addition step, we improve the accuracy by handling linear dependence among leakage simulation data of sub-blocks. In addition, we propose a method to reduce the number of components of an EMM to prevent exponential increase in runtime and memory during the addition process. The proposed method achieved 43.6 times improvement in goodness-of-fit of the estimated cumulative density functions compared to the best results of other analytic model-based methods.
- Published
- 2020
- Full Text
- View/download PDF
121. FPGA Controller Design for High-Frequency LLC Resonant Converters
- Author
-
Hwa-Pyeong Park, Yesung Kang, Seokhyeong Kang, and Jee-Hoon Jung
- Subjects
Controller design ,Computer science ,Mechanical Engineering ,Automotive Engineering ,Electronic engineering ,Energy Engineering and Power Technology ,Electrical and Electronic Engineering ,Converters ,Field-programmable gate array ,Industrial and Manufacturing Engineering - Published
- 2020
- Full Text
- View/download PDF
122. A Logic Synthesis Methodology for Low-Power Ternary Logic Circuits
- Author
-
Sung-Yun Lee, Kyung Rok Kim, Sunghye Park, Sunmean Kim, and Seokhyeong Kang
- Subjects
Structure (mathematical logic) ,Adder ,Hardware_MEMORYSTRUCTURES ,Computer science ,020208 electrical & electronic engineering ,Transistor ,02 engineering and technology ,law.invention ,Logic synthesis ,Hardware and Architecture ,law ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Benchmark (computing) ,Electronic engineering ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Electrical and Electronic Engineering ,Ternary operation ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
We propose a logic synthesis methodology with a novel low-power circuit structure for ternary logic. The proposed methodology synthesizes a ternary function as a ternary logic gate using carbon nanotube field-effect transistors (CNTFETs). The circuit structure uses the body effect to mitigate the excessive power consumption for the third logic value. Energy-efficient ternary logic circuits are designed with a combination of synthesized low-power ternary logic gates. The proposed methodology is applicable to both unbalanced (0, 1, 2) and balanced (−1, 0, 1) ternary logic. To verify the improvement in energy efficiency, we have designed various ternary arithmetic logic circuits using the proposed methodology. The proposed ternary full adder has a significant improvement in the power-delay product (PDP) over previous designs. Ternary benchmark circuits have been designed to show that complex ternary functions can be designed to more efficient circuits with the proposed methodology.
- Published
- 2020
- Full Text
- View/download PDF
123. Compact Topology-Aware Bus Routing for Design Regularity
- Author
-
SangGi Do, Seokhyeong Kang, Daeyeon Kim, and Sung-Yun Lee
- Subjects
Hardware_MEMORYSTRUCTURES ,Computer science ,SIGNAL (programming language) ,Topology (electrical circuits) ,02 engineering and technology ,Topology ,Track (rail transport) ,Computer Graphics and Computer-Aided Design ,020202 computer hardware & architecture ,Reduction (complexity) ,Bus routing ,Limit (music) ,0202 electrical engineering, electronic engineering, information engineering ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,Software - Abstract
In bus routing, if signal bits in a bus structure share a common routing topology, routability is increased by avoiding twisted patterns and variation immunity. The bus routing problem has become significantly important because of increasing complexity of bus structures for multichip-module, I/O pins, or on-chip memories in advanced technology. We present and evaluate a compact topology-aware bus routing method that can both compactly synthesize the routing topology of the bus and minimize design rule violations even in designs with high bus density and high track utilization. Our proposed method completed the bus routing in the runtime limit of the ICCAD-2018 contest and achieved 66% reduction in total cost compared with the winner of that contest.
- Published
- 2020
- Full Text
- View/download PDF
124. Proactive Scenario Characteristic-Aware Online Power Management on Mobile Systems
- Author
-
Young Hwan Kim, Sodam Han, Yonghee Yun, and Seokhyeong Kang
- Subjects
Power management ,workload-aware power management ,General Computer Science ,Computer science ,quality-of-service (QoS) ,General Engineering ,Computer security ,computer.software_genre ,application-aware power management ,General Materials Science ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,lcsh:TK1-9971 ,computer ,energy efficiency ,Dynamic voltage/frequency scaling (DVFS) - Abstract
Modern mobile systems are requested to execute diverse user scenarios. Depending on the types of user scenarios, mobile systems utilize hardware resources differently. Thus, power management policies of mobile systems must adapt to various user scenarios. In this paper, we propose a dynamic voltage/frequency scaling (DVFS) policy to increase the energy efficiency of multicore mobile systems by adapting to user scenarios. The proposed policy provides effective power management regardless of user scenarios by using operation characteristics that can represent the execution behavior of various user scenarios. Furthermore, the proposed policy is suitable for modern mobile systems in which online power management is essential, because it does not require preliminary knowledge of target scenarios. To balance the trade-off between energy consumption and quality-of-service (QoS), the proposed scenario-aware policy provides `just enough' processing speed to process the requested amount of work at the given parallelism level. To demonstrate the practicality of the proposed policy, we evaluated the effectiveness of the proposed scenario-aware policy for real-world user scenarios. Compared to the conventional DVFS policies, the proposed scenario-aware policy achieved a maximum of 25.5% energy saving on the mobile system that uses asymmetric multicore CPU, and a maximum of 30.7% energy saving on the mobile system that uses symmetric multicore CPU, without any QoS violation that degrades user experiences.
- Published
- 2020
- Full Text
- View/download PDF
125. Self-selective ferroelectric memory realized with semimetalic graphene channel
- Author
-
Seokhyeong Kang, Wonho Song, Junhyung Kim, Myong Kong, Sungchul Jung, Tae Heon Kim, Jinyoung Park, Ill Won Kim, Muhammad Sheeraz, Hyunjae Park, Kibog Park, and Jaehyeong Jo
- Subjects
Materials science ,business.industry ,Graphene ,Mechanical Engineering ,Transconductance ,General Chemistry ,Condensed Matter Physics ,Ferroelectricity ,law.invention ,Power (physics) ,Chemistry ,Mechanics of Materials ,law ,Ferroelectric RAM ,TA401-492 ,Optoelectronics ,General Materials Science ,Field-effect transistor ,business ,Materials of engineering and construction. Mechanics of materials ,QD1-999 ,Layer (electronics) ,Communication channel - Abstract
A new concept of read-out method for ferroelectric random-access memory (FeRAM) using a graphene layer as the channel material of bottom-gated field effect transistor structure is demonstrated experimentally. The transconductance of the graphene channel is found to change its sign depending on the direction of spontaneous polarization (SP) in the underlying ferroelectric layer. This indicates that the memory state of FeRAM, specified by the SP direction of the ferroelectric layer, can be sensed unambiguously with transconductance measurements. With the proposed read-out method, it is possible to construct an array of ferroelectric memory cells in the form of a cross-point structure where the transconductance of a crossing cell can be measured selectively without any additional selector. This type of FeRAM can be a plausible solution for fabricating high speed, ultra-low power, long lifetime, and high density 3D stackable non-volatile memory.
- Published
- 2021
- Full Text
- View/download PDF
126. Memcapacitor based Minimum and Maximum Gate Design
- Author
-
Sunmean Kim, Seokhyeong Kang, and Jiyoung Min
- Published
- 2021
- Full Text
- View/download PDF
127. Components Analysis on Audio Signal Mixtures
- Author
-
Seokhyeong Kang, Sangho Yoon, and Chanhee Lee
- Subjects
Audio signal ,Computer science ,Speech recognition - Published
- 2021
- Full Text
- View/download PDF
128. Ternary Sense Amplifier Design for Ternary SRAM
- Author
-
Seokhyeong Kang, Youngchang Choi, Minjeong Choi, and Sunmean Kim
- Subjects
Materials science ,Sense amplifier ,Electronic engineering ,Static random-access memory ,Ternary operation - Published
- 2021
- Full Text
- View/download PDF
129. Power Integrity Coanalysis Methodology for Multi-Domain High-Speed Memory Systems
- Author
-
Seokhyeong Kang, Youngmin Kim, Seungwon Kim, and Ki Jin Han
- Subjects
chip-package-PCB coanalysis ,General Computer Science ,Computer science ,Signoff ,multi-domain coupling ,General Engineering ,020206 networking & telecommunications ,Power integrity ,02 engineering and technology ,020202 computer hardware & architecture ,Domain (software engineering) ,Power integrity (PI) ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,General Materials Science ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,Double data rate ,lcsh:TK1-9971 ,high-speed memory ,power delivery system ,power distribution network (PDN) - Abstract
With the increasing demand for state-of-the-art technologies, such as wearable devices and the Internet of things (IoT), power integrity has emerged as a major concern for high-speed, low-power interfaces that are used as mobile platforms. By using case-specific design models in a high-speed memory system, only a limited analysis of the effects of parametric variations can be performed in complex design problems, such as adjacent voltage domain coupling at high frequencies. Moreover, a conventional industrial method can be simulated only after completing the design layout; therefore, a number of iterative back-annotation processes are required for signoff; this delays the time to market. In this paper, we propose a power integrity coanalysis methodology for multiple power domains in high-frequency memory systems. Our proposed methodology can analyze the tendencies in power integrity by using parametric methods, such as parameter sweeping and Monte Carlo simulations. Our experiments prove that our proposed methodology can predict similar peak-to-peak ripple voltages that are comparable with the realistic simulations of low-power double data rate four interfaces.
- Published
- 2019
130. SmartGrid: Video Retargeting With Spatiotemporal Grid Optimization
- Author
-
Sung In Cho, Young Hwan Kim, Seokhyeong Kang, Ho Sub Lee, and Gyu Jin Bae
- Subjects
computational complexity ,General Computer Science ,Pixel ,business.industry ,Computer science ,deformation ,General Engineering ,020206 networking & telecommunications ,02 engineering and technology ,Coherence (statistics) ,Similarity measure ,video retargeting ,Consistency (database systems) ,Retargeting ,Metric (mathematics) ,0202 electrical engineering, electronic engineering, information engineering ,General Materials Science ,Computer vision ,Temporal coherence ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,Artificial intelligence ,business ,Focus (optics) ,lcsh:TK1-9971 ,Coherence (physics) - Abstract
We propose SmartGrid, a new video-retargeting method that preserves a shape of the salient object and maintains a temporal coherence for the static background regions in the video. Previous methods mainly focus on preserving the shape of the salient object or maintaining the temporal coherence for each region. However, these methods do not explicitly adjust the sizes of the grids corresponding to the salient objects and the static background regions. Thus, they have difficulty in maintaining the consistency of the salient object shape and the temporal coherence for the static background regions. The basic idea of SmartGrid is to maintain the consistency of the contents in consecutive frames by analyzing the degree of the spatiotemporal consistency. Compared to the best results obtained using eight previous methods, SmartGrid achieved improvements of 1.19× in Bidirectional Similarity Measure, 7.59× in Jittery Metric 1, and 13.16× in Jittery Metric 2, and reduced the average computation time per pixel by 6.14×.
- Published
- 2019
- Full Text
- View/download PDF
131. Design and Analysis of a Low-Power Ternary SRAM
- Author
-
Kyongsu Lee, Sunmean Kim, Seokhyeong Kang, and Youngchang Choi
- Subjects
Hardware_MEMORYSTRUCTURES ,Computer science ,business.industry ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Dissipation ,Noise (electronics) ,Power (physics) ,Hardware_INTEGRATEDCIRCUITS ,Inverter ,Static random-access memory ,Voltage source ,Ternary operation ,business ,Voltage - Abstract
This paper proposes the design of a ternary inverter that uses low current as input voltage is VDD/2. When the supply voltage is set to 1 V, current supplied by a voltage source as an input voltage VDD/2 is reduced by 22.75% from 1.89μΑ to 1.46μΑ. By connecting ternary inverters back-to-back, a trit-storage element is implemented as a ternary SRAM cell. This paper also presents the first verification of read/write schemes that consider noise margins.
- Published
- 2021
- Full Text
- View/download PDF
132. Approach to Improve the Performance Using Bit-level Sparsity in Neural Networks
- Author
-
Younghoon Byun, Seokhyeong Kang, Youngjoo Lee, Seunggyu Lee, Yesung Kang, and Eunji Kwon
- Subjects
Speedup ,Artificial neural network ,Computer science ,Electric breakdown ,Outlier ,Energy consumption ,Clipping (computer graphics) ,Algorithm ,Convolutional neural network ,Efficient energy use - Abstract
This paper presents a convolutional neural network (CNN) accelerator that can skip zero weights and handle outliers, which are few but have a significant impact on the accuracy of CNNs, to achieve speedup and increase the energy efficiency of CNN. We propose an offline weight-scheduling algorithm which can skip zero weights and combine two non-outlier weights simultaneously using bit-level sparsity of CNNs. We use a reconfigurable multiplier-and-accumulator (MAC) unit for two purposes; usually used to compute combined two non-outliers and sometimes to compute outliers. We further improve the speedup of our accelerator by clipping some of the outliers with negligible accuracy loss. Compared to DaDianNao [7] and Bit-Tactical [16] architectures, our CNN accelerator can improve the speed by 3.34 and 2.31 times higher and reduce energy consumption by 29.3% and 30.2%, respectively.
- Published
- 2021
- Full Text
- View/download PDF
133. MDARTS: Multi-objective Differentiable Neural Architecture Search
- Author
-
Tae-Hyun Oh, Hyunjeong Kwon, Seokhyeong Kang, Youngchang Choi, Sung-Hoon Kim, and Eunji Kwon
- Subjects
Hyperparameter ,Mathematical optimization ,Computer science ,Quality of service ,media_common.quotation_subject ,Pareto principle ,Entropy (information theory) ,Quality (business) ,Differentiable function ,Differential (infinitesimal) ,Architecture ,media_common - Abstract
In this work, we present a differentiable neural architecture search (NAS) method that takes into account two competing objectives, quality of result (QoR) and quality of service (QoS) with hardware design constraints. NAS research has recently received a lot of attention due to its ability to automatically find architecture candidates that can outperform handcrafted ones. However, the NAS approach which complies with actual HW design constraints has been under-explored. A naive NAS approach for this would be to optimize a combination of two criteria of QoR and QoS, but the simple extension of the prior art often yields degenerated architectures, and suffers from a sensitive hyperparameter tuning. In this work, we propose a multi-objective differential neural architecture search, called MDARTS. MDARTS has an affordable search time and can find Pareto frontier of QoR versus QoS. We also identify the problematic gap between all the existing differentiable NAS results and those final post-processed architectures, where soft connections are binarized. This gap leads to performance degradation when the model is deployed. To mitigate this gap, we propose a separation loss that discourages indefinite connections of components by implicitly minimizing entropy.
- Published
- 2021
- Full Text
- View/download PDF
134. Extreme Low Power Technology using Ternary Arithmetic Logic Circuits via Drastic Interconnect Length Reduction
- Author
-
Sunmean Kim, Daeyeon Kim, Seokhyeong Kang, Byoung Hun Lee, Soyoung Kim, Yongsu Lee, and Kiyung Kim
- Subjects
Standard cell ,Reduction (complexity) ,Interconnection ,Arithmetic logic unit ,Balanced ternary ,Computer science ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Ternary operation ,Topology ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
Ternary logic is more power-efficient than binary logic because of lower device count required to perform the same logic functions. Its benefits become more pronounced in highly scaled systems where most power consumption occurs at the interconnect portion. We examined the benefits of ternary logic including the impacts of interconnect length reduction using a realistic ternary device model. The standard cell layouts of ternary SUM, NCARRY, NANY, and PROD gates are designed using balanced ternary logic and multi-threshold graphene barrister (MTGB). The interconnect wire length of the 5-trit arithmetic logic unit is reduced by ~37 % and this reduction rate is maintained even in more complex circuits.
- Published
- 2020
- Full Text
- View/download PDF
135. Low-Power 4-Trit Current-Steering DAC for Ternary Data Conversion
- Author
-
Seokhyeong Kang, Youngchang Choi, Sunmean Kim, and Seunghan Baek
- Subjects
Computer science ,Transistor ,Binary number ,Hardware_PERFORMANCEANDRELIABILITY ,computer.file_format ,Data conversion ,law.invention ,Power (physics) ,CMOS ,Power consumption ,law ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Ternary operation ,computer ,Hardware_LOGICDESIGN ,Voltage - Abstract
A current-steering ternary DAC is proposed to reduce the power consumption and size while retaining better resolution than conventional binary DACs. By applying the method proposed in this paper, a 4-trit ternary DAC is designed. It operates at 100MHz sampling rate and 1.8V supply voltage, and is implemented in 180nm CMOS technology. Compared to 6-bit binary DAC [5], it reduces power consumption by 31.69% to 30.64 %, and reduces area by 75.48 %.
- Published
- 2020
- Full Text
- View/download PDF
136. GRLC
- Author
-
Seokhyeong Kang, Yoonho Park, Yesung Kang, Sung-Hoon Kim, and Eunji Kwon
- Subjects
Computer science ,020208 electrical & electronic engineering ,Data_CODINGANDINFORMATIONTHEORY ,02 engineering and technology ,Energy consumption ,Grid ,Convolutional neural network ,020202 computer hardware & architecture ,Computational science ,Feature (computer vision) ,Compression (functional analysis) ,Compression ratio ,0202 electrical engineering, electronic engineering, information engineering ,Dram ,Efficient energy use - Abstract
Convolutional neural networks (CNNs) require a huge amount of off-chip DRAM access, which accounts for most of its energy consumption. Compression of feature maps can reduce the energy consumption of DRAM access. However, previous compression methods show poor compression ratio if the feature maps are either extremely sparse or dense. To improve the compression ratio efficiently, we have exploited the spatial correlation and the distribution of non-zero activations in output feature maps. In this work, we propose a grid-based run-length compression (GRLC) and have implemented a hardware for the GRLC. Compared with a previous compression method [1], GRLC reduces 11% of the DRAM access and 5% of the energy consumption on average in VGG-16, ExtractionNet and ResNet-18.
- Published
- 2020
- Full Text
- View/download PDF
137. Late Breaking Results: Reinforcement Learning-based Power Management Policy for Mobile Device Systems
- Author
-
Sodam Han, Yoonho Park, Young Hwan Kim, Seokhyeong Kang, and Eunji Kwon
- Subjects
Power management ,Computer science ,Distributed computing ,Quality of service ,Overhead (computing) ,Reinforcement learning ,Frequency scaling ,Electrical efficiency ,Mobile device ,Power (physics) ,Voltage - Abstract
This paper presents a power management policy that exploits reinforcement learning to increase power efficiency of mobile device systems. Our Q-learning-based policy predicts a system’s characteristics and learns power management controls to adapt to the system’s variations. Therefore, we can flexibly manage the system power regardless of the application scenario and can achieve lower energy per QoS compared to previous dynamic voltage/frequency scaling governors. To minimize the process overhead, we implemented our power management policy as hardware; the hardware-implemented policy reduced the average latency up to 40× compared to the software-implemented policy.
- Published
- 2020
- Full Text
- View/download PDF
138. Analysis and Solution of CNN Accuracy Reduction over Channel Loop Tiling
- Author
-
Sung-Hoon Kim, Mingyu Woo, Yesung Kang, Seokhyeong Kang, Yoonho Park, Taeho Lim, Eunji Kwon, and Sangyun Oh
- Subjects
Spiking neural network ,Quantization (physics) ,Computer science ,Quantization (signal processing) ,Computer Science::Neural and Evolutionary Computation ,Data_CODINGANDINFORMATIONTHEORY ,Constraint satisfaction ,Loop tiling ,Convolutional neural network ,Algorithm - Abstract
Owing to the growth of the size of convolutional neural networks (CNNs), quantization and loop tiling (also called loop breaking) are mandatory to implement CNN on an embedded system. However, channel loop tiling of quantized CNNs induces unexpected errors. We explain why channel loop tiling of quantized CNNs induces the unexpected errors, and how the errors affect the accuracy of state-of-the-art CNNs. We also propose a method to recover accuracy under channel tiling by compressing and decompressing the most-significant bits of partial sums. Using the proposed method, we can recover accuracy by 12.3% with only 1% circuit area overhead and an additional 2% of power consumption.
- Published
- 2020
- Full Text
- View/download PDF
139. Ternary Full Adder Using Multi-Threshold Voltage Graphene Barristors
- Author
-
Kyung Rok Kim, Byoung Hun Lee, Kiyung Kim, Yun Ji Kim, Sunwoo Heo, Segi Lee, Soyoung Kim, Seung Mo Kim, Hyeji Lee, Sunmean Kim, Seokhyeong Kang, and Ho-In Lee
- Subjects
Adder ,Materials science ,Binary number ,02 engineering and technology ,01 natural sciences ,law.invention ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Electrical and Electronic Engineering ,Electronic circuit ,010302 applied physics ,Hardware_MEMORYSTRUCTURES ,Graphene ,business.industry ,021001 nanoscience & nanotechnology ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,Logic gate ,Optoelectronics ,Equivalent circuit ,0210 nano-technology ,business ,Ternary operation ,Hardware_LOGICDESIGN - Abstract
Ternary logic circuit has been studied for several decades because it can provide simpler circuits and subsequently lower power consumption via succinct interconnects. We demonstrated a ternary full adder exhibiting a low power-delay-product of ~10−16 J, which is comparable to the binary equivalent circuit. The ternary full adder was modeled using device parameters extracted from the experimentally demonstrated multi-Vth ternary graphene barristors.
- Published
- 2018
- Full Text
- View/download PDF
140. Statistical Leakage Analysis Using Gaussian Mixture Model
- Author
-
Young Hwan Kim, Mingyu Woo, Hyunjeong Kwon, and Seokhyeong Kang
- Subjects
General Computer Science ,Computer science ,statistical leakage analysis ,020208 electrical & electronic engineering ,General Engineering ,02 engineering and technology ,Semiconductor device ,Overfitting ,Mixture model ,020202 computer hardware & architecture ,Expectation-maximization algorithm ,Gaussian mixture model ,machine learning ,ComputingMethodologies_PATTERNRECOGNITION ,0202 electrical engineering, electronic engineering, information engineering ,General Materials Science ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,lcsh:TK1-9971 ,Algorithm ,Leakage (electronics) - Abstract
In the design process of advanced semiconductor devices, statistical leakage analysis has emerged as a major step due to uncertainties in the leakage current caused by the process variations. In this paper, a novel statistical leakage analysis which uses Gaussian mixture model (GMM) as the density function of leakage current is proposed. To estimate the probability density function, our proposed method clusters the rapidly converged leakage data using the GMM. The GMM can represent any distributions, so it is suitable to estimate the leakage distribution, which varies as the technology node or operating condition changes. In addition, our proposed method (SLA-GMM) defines a terminating condition that guarantees the convergence of the leakage data and prevents the underfitting or overfitting in the GMM modeling process. With sequential addition, SLA-GMM significantly reduced the error that can occur during the addition process. In studies with a goodness-of-fit test, SLA-GMM achieved up to 98% and 94% improvements in the Chi-square static and the K-S static compared with the previous method based on an analytic model.
- Published
- 2018
- Full Text
- View/download PDF
141. Outlier-aware Time-multiplexing MAC for Higher Energy-Efficiency on CNNs
- Author
-
Yesung Kang, Seokhyeong Kang, and Eunji Kwon
- Subjects
Exploit ,business.industry ,Computer science ,Deep learning ,Time multiplexing ,Energy consumption ,Convolutional neural network ,Computer engineering ,Feature (computer vision) ,Outlier ,Computer Science::Networking and Internet Architecture ,Artificial intelligence ,business ,Computer Science::Information Theory ,Efficient energy use - Abstract
Convolutional neural networks (CNNs) are computationally intensive, and deep learning hardware should be implemented energy-efficiently for embedded systems or battery-constrained systems. In this paper, we propose an outlier-aware time-multiplexing MAC. We exploit a CNN feature maps' characteristic of being able to express most of the data in a low bit-width except a few large values, which we call ‘outliers' Our outlier-aware time-multiplexing MAC has improved the energy efficiency by up to 21.1% compared to conventional MACs.
- Published
- 2019
- Full Text
- View/download PDF
142. Fence-Region-Aware Mixed-Height Standard Cell Legalization
- Author
-
Mingyu Woo, Seokhyeong Kang, and SangGi Do
- Subjects
Fence (finance) ,Standard cell ,Computer science ,020208 electrical & electronic engineering ,Simulated annealing ,Quality Score ,0202 electrical engineering, electronic engineering, information engineering ,02 engineering and technology ,Algorithm ,020202 computer hardware & architecture ,Legalization - Abstract
We propose a fence-region-aware mixed-height standard cell legalization that can optimize the placement of standard cells that have more than a two row height in various shapes of the fence region. The algorithm consists of pre-legalization and mixed-height standard cell legalization steps to prioritize cell legalization; then a quality refinement step that uses simulated annealing reduces the displacement. Our proposed method achieved 63% improvement in the average quality score and 72% improvement in average runtime, compared to the winners of the ICCAD-2017 contest.
- Published
- 2019
- Full Text
- View/download PDF
143. Design of Quad-Edge-Triggered Sequential Logic Circuits for Ternary Logic
- Author
-
Sung-Yun Lee, Seokhyeong Kang, Sunmean Kim, and Sunghye Park
- Subjects
010302 applied physics ,Sequential logic ,Computer science ,Clock signal ,020208 electrical & electronic engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,01 natural sciences ,Computer Science::Hardware Architecture ,Computer Science::Emerging Technologies ,Transmission gate ,Logic gate ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Serial binary adder ,Inverter ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Ternary operation ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
We propose a quad-edge-triggered flip-flop which captures and propagates a ternary data signal at four-edges of a ternary clock signal. The proposed circuit uses carbon nanotube FETs and consists of four types of logic gate: ternary clock driver, standard ternary inverter, binary inverter, and transmission gate. HSPICE simulation result has confirmed that power consumption of QETFF is lower than conventional single-edge-triggered flip-flop. The average power consumption is reduced by 31 % in flip-flop and 75 % in clock tree. We designed a ternary serial adder using QETFF and the energy efficiency of the proposed circuit is significantly improved compared to the previous design of ternary serial adder.
- Published
- 2019
- Full Text
- View/download PDF
144. Synthesis of Dual-Mode Circuits Through Library Design, Gate Sizing, and Clock-Tree Optimization
- Author
-
Seokhyeong Kang, Youngsoo Shin, and Sangmin Kim
- Subjects
Binary search algorithm ,Computer science ,020208 electrical & electronic engineering ,Clock rate ,Real-time computing ,Mode (statistics) ,02 engineering and technology ,Clock skew ,Computer Graphics and Computer-Aided Design ,Clock-tree optimization ,dual-mode circuit ,gate sizing ,near-thresholdvoltage ,timing optimization ,020202 computer hardware & architecture ,Computer Science Applications ,Stack (abstract data type) ,Control theory ,0202 electrical engineering, electronic engineering, information engineering ,Sensitivity (control systems) ,Electrical and Electronic Engineering ,Electronic circuit ,Voltage - Abstract
A dual-mode circuit is a circuit that has two operating modes: a default high-performance mode at nominal voltage and a secondary low-performance near-threshold voltage (NTV) mode. A key problem that we address is to maximize NTV mode clock frequency. Some cells that are particularly slow in NTV mode are optimized through transistor sizing and stack removal; static noise margin of each gate is extracted and appended in a library so that function failures can be checked and removed during synthesis. A new gate-sizing algorithm is proposed that takes account of timing slacks at both modes. A new sensitivity measure is introduced for this purpose; binary search is then applied to find the maximum NTV mode frequency. Clock-tree synthesis is reformulated to minimize clock skew at both modes. This is motivated by the fact that the proportion of load-dependent delay along clock paths, as well as clock-path delays themselves, should be made equal. Experiments on some test circuits indicate that NTV mode clock period is reduced by 24%, on average; clock skew at NTV decreases by 13%, on average; and NTV mode energy-delay product is reduced by 20%, on average.
- Published
- 2016
- Full Text
- View/download PDF
145. Wakeup scheduling and its buffered tree synthesis for power gating circuits
- Author
-
Seokhyeong Kang, Sangmin Kim, Seungwhun Paik, and Youngsoo Shin
- Subjects
Engineering ,Power gating ,business.industry ,Design flow ,0211 other engineering and technologies ,02 engineering and technology ,Grid ,020202 computer hardware & architecture ,Scheduling (computing) ,Signal transition ,Process variation ,Hardware and Architecture ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Electrical and Electronic Engineering ,business ,Software ,021106 design practice & management ,Electronic circuit ,Voltage - Abstract
Power gating circuit suffers from large amount of rush current during wakeup, especially when all switch cells are turned on simultaneously. If each switch cell is turned on at a different time, rush current can be reduced. It is shown in this paper that rush current can be reduced even more if signal transition time (or signal slew) to each switch cell is adjusted. We define wakeup scheduling as to determine turn-on time and signal slew of each switch cell; the goal is to minimize wakeup delay while keeping rush current below the maximum value that is allowed. The determined turn-on time and signal slew are implemented using a buffered tree. The wakeup scheduling and buffered tree construction are integrated into a design flow. To adapt to process variation, we use adjustable delay buffers in the wakeup network. We also apply grid-based design flow and use Schmitt triggers to implement large designs. Experiments in an industrial 1.1V, 32-nm technology demonstrate that the wakeup delay is reduced by 12% on average of example circuits compared with turn-on scheduling. HighlightsWe determine the signal slew and turn-on time of each footer cell to minimize wakeup delay while rush current constraint is respected.We implement the determined turn-on time and signal slew using a buffered tree.We propose a variation-adaptive design flow that uses adjustable delay buffers to satisfy rush current constraint.Experiments demonstrate that the wakeup delay is reduced compared with turn-on scheduling.
- Published
- 2016
- Full Text
- View/download PDF
146. Estimation of Leakage Distribution Utilizing Gaussian Mixture Model
- Author
-
Seokhyeong Kang, Young Hwan Kim, and Hyunjeong Kwon
- Subjects
010302 applied physics ,Continuous function ,020208 electrical & electronic engineering ,Semiconductor device modeling ,02 engineering and technology ,Mixture model ,01 natural sciences ,Data modeling ,Distribution (mathematics) ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Benchmark (computing) ,Cluster analysis ,Algorithm ,Mathematics ,Leakage (electronics) - Abstract
In this paper, we propose a novel method which utilizes the Gaussian Mixture Model (GMM) to estimate the leakage distribution of a circuit. Our proposed method assumes that the leakage distribution can be represented using the GMM which can cover any continuous function. After the GMM clustering using the leakage simulation data, the leakage distribution of the input circuit can be obtained. The experimental results with the K-S test showed that the proposed method exhibited 1.82e+05 times larger p-value and 7.74e-01 times smaller K-S statistics compared to the state-of-the-art benchmark method on average.
- Published
- 2018
- Full Text
- View/download PDF
147. Fast chip-package-PCB coanalysis methodology for power integrity of multi-domain high-speed memory: A case study
- Author
-
Seokhyeong Kang, Youngmin Kim, Seungwon Kim, and Ki Jin Han
- Subjects
010302 applied physics ,Computer science ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Power integrity ,System on a chip ,02 engineering and technology ,Chip ,01 natural sciences ,020202 computer hardware & architecture ,Domain (software engineering) - Abstract
The power integrity of high-speed interfaces is an increasingly important issue in mobile memory systems. However, because of complicated design variations such as adjacent VDD domain coupling, conventional case-specific modeling is limited in analyzing trends in results from parametric variations. Moreover, conventional industrial methods can be simulated only after the design layout is completed and it requires a lot of back-annotation processes, which result in delayed delays time to market. In this paper, we propose a chip-package-PCB coanalysis methodology applied to our multi-domain high-speed memory system model with a current generation method. Our proposed parametric simulation model can analyze the tendency of power integrity results from variable sweeps and Monte Carlo simulations, and it shows a significantly reduced runtime compared to the conventional EDA methodology under JEDEC LPPDR4 environment.
- Published
- 2018
- Full Text
- View/download PDF
148. An Improved Methodology for Resilient Design Implementation
- Author
-
Jiajia Li, Seokhyeong Kang, Jose Pineda de Gyvez, Andrew B. Kahng, and Electronic Systems
- Subjects
Resilience ,Computer science ,Signoff ,Design optimization ,Real-time computing ,Clock skew ,Computer Graphics and Computer-Aided Design ,Computer Science Applications ,Reliability engineering ,Process variation ,Energy reduction ,Overhead (business) ,Robustness (computer science) ,Adaptive voltage scaling ,Electrical and Electronic Engineering ,Implementation ,Electronic circuit - Abstract
Resilient design techniques are used to (i) ensure correct operation under dynamic variations and to (ii) improve design performance (e.g., timing speculation). However, significant overheads (e.g., 16% and 14% energy penalties due to throughput degradation and additional circuits) are incurred by existing resilient design techniques. For instance, resilient designs require additional circuits to detect and correct timing errors. Further, when there is an error, the additional cycles needed to restore a previous correct state degrade throughput, which diminishes the performance benefit of using resilient designs. In this work, we describe an improved methodology for resilient design implementation to minimize the costs of resilience in terms of power, area, and throughput degradation. Our methodology uses two levers: selective-endpoint optimization (i.e., sensitivity-based margin insertion) and clock skew optimization. We integrate the two optimization techniques in an iterative optimization flow which comprehends toggle rate information and the trade-off between cost of resilience and margin on combinational paths. Since the error-detection network can result in up to 9% additional wirelength cost, we also propose a matching-based algorithm for construction of the error-detection network to minimize this resilience overhead. Further, our implementations comprehend the impacts of signoff corners (in particular, hold constraints, and use of typical vs. slow libraries) and process variation, which are typically omitted in previous studies of resilience trade-offs. Our proposed flow achieves energy reductions of up to 21% and 10% compared to a conventional (with only margin used to attain robustness) design and a brute-force implementation (i.e., a typical resilient design, where resilient endpoints are (greedily) instantiated at timing-critical endpoints), respectively. We show that these benefits increase in the context of an adaptive voltage scaling strategy.
- Published
- 2015
- Full Text
- View/download PDF
149. A preliminary analysis of domain coupling in package power distribution network
- Author
-
Seungwon Kim, Sun-Won Kang, Seokhyeong Kang, Byoungjin Bae, Ki Jin Han, Il Joon Kim, Kwang-Seok Kim, and Youngmin Kim
- Subjects
010302 applied physics ,Coupling ,Engineering ,Substrate coupling ,business.industry ,02 engineering and technology ,Topology ,01 natural sciences ,020202 computer hardware & architecture ,Power (physics) ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Direct coupling ,business ,Electrical impedance ,Coupling coefficient of resonators ,Power domains ,Ground plane - Abstract
The coupling of power domains in a typical package power distribution network (PDN) is investigated, mainly focusing on the effect of ground structures and substrate parameters. From the simulated impedance parameter data, the self and the coupling capacitances are calculated. The preliminary study shows that the effect of the bottom ground planes has the most dominant effect on the domain coupling, and the ground plane between adjacent power domains can be effectively used to reduce the domain coupling.
- Published
- 2017
- Full Text
- View/download PDF
150. A Novel Ternary Multiplier Based on Ternary CMOS Compact Model
- Author
-
Jae Won Jeong, Seokhyeong Kang, Kyung Rok Kim, Esan Jang, Sunmin Kim, Yesung Kang, Jaewoo Kim, and Sunhae Shin
- Subjects
Adder ,020208 electrical & electronic engineering ,Static timing analysis ,02 engineering and technology ,020202 computer hardware & architecture ,CMOS ,Logic gate ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Multiplier (economics) ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Circuit complexity ,Performance improvement ,Ternary operation ,Hardware_LOGICDESIGN ,Mathematics - Abstract
Multiple-valued logic (MVL) has potential advantagesfor energy-efficient design by reducing a circuit complexity. Because of physical device and circuit realization issues, however, there are relatively small number of researches on MVL circuitdesigns. We design a novel ternary multiplier based on a ternaryCMOS (T-CMOS) compact model. To estimate performance andenergy efficiency of our ternary design, we construct a standardternary-cell library and exploit a ternary static timing analysis(T-STA). The proposed ternary multiplier design achieves significant total power reduction and performance improvement over conventional ternary design.
- Published
- 2017
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.