14,402 results on '"Reactive-ion etching"'
Search Results
102. All-silicon subwavelength structural coloration fabricated through proton beam writing and reactive ion etching
- Author
-
Andrew A. Bettiol, Chengyuan Yang, Huining Jin, Jintao Gong, and Jeroen A. van Kan
- Subjects
010302 applied physics ,Nuclear and High Energy Physics ,Materials science ,Silicon ,Scattering ,business.industry ,Mie scattering ,Nanoparticle ,chemistry.chemical_element ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Proton beam writing ,chemistry ,0103 physical sciences ,Optoelectronics ,Reactive-ion etching ,0210 nano-technology ,business ,Instrumentation ,Structural coloration - Abstract
All-dielectric nano-structures have recently gained much attention in meta-material related research. Experimental observations of Mie-scattering resonances in high-refractive-index dielectric nanoparticles led to a rapid advancement of this research field. Due to its high-refractive-index and significant technological involvements, silicon in particular has emerged as a prevailing material. Here, we demonstrate an all-silicon subwavelength structural coloration technique harnessing the resonant interaction between white light and individual silicon nano-object. Furthermore, square matrices with a resolution of more than 50,000 dpi were achieved using a 500 × 500 nm 2 color pixel. The 500 nm period was to avoid significant coupling between adjacent silicon nano-objects, thus allowing us to investigate the scattering colors of individual all-silicon nano-resonators.
- Published
- 2020
103. Development of a self‐heated‐stage for high‐speed process of titanium by reactive ion etching
- Author
-
Yuya Kiryu, Masayuki Sohgawa, Takashi Abe, Gang Han, and Junichi Imai
- Subjects
Materials science ,Computer Networks and Communications ,Applied Mathematics ,General Physics and Astronomy ,chemistry.chemical_element ,Chemical engineering ,chemistry ,Scientific method ,Signal Processing ,Deep reactive-ion etching ,Stage (hydrology) ,Electrical and Electronic Engineering ,Reactive-ion etching ,Titanium - Published
- 2020
104. Study on efficiency improvement of multi-crystalline silicon solar cell by removing by-product and plasma induced damage generated during reactive ion etching
- Author
-
Kyung Taek Jeong, Min Gu Kang, Hee Eun Song, Mu joong Kim, Jin-Seong Park, Kwan Hong Min, Jeong In Lee, and Sungeun Park
- Subjects
010302 applied physics ,Materials science ,Silicon ,Passivation ,business.industry ,General Physics and Astronomy ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Monocrystalline silicon ,chemistry ,law ,Etching (microfabrication) ,0103 physical sciences ,Solar cell ,Optoelectronics ,General Materials Science ,Wafer ,Crystalline silicon ,Reactive-ion etching ,0210 nano-technology ,business - Abstract
Silicon solar cell texturing is the process of reducing the light reflection of the solar cells by changing their surface structure. Given that multi-crystalline silicon (mc-Si) wafers have grains with different orientations, their texturing process is more difficult to realize compared with those of monocrystalline silicon (mono-Si) wafers. There are two types of texturing processes: wet and dry texturing. Acidic solution-based wet-textured mc-Si samples have higher reflectance values than mono-Si wafers. However, the reactive ion etching (RIE)-texturing of mc-Si wafers has the advantage of decreasing reflectance to values below 10%. Despite this decrease in reflectance, RIE byproducts and plasma-induced damage bring about recombination that results in a decrease the passivation properties of the solar cell. In this study, the RIE byproducts as well as the plasma-induced damage of the RIE-textured mc-Si wafers were analyzed using SEM, STEM, and EDS analysis, while quasi-steady-state photoconductance (QSSPC) was used to confirm the optical characteristics of the RIE-textured mc-Si wafers. Additionally, HF treatment resulted in byproduct removal, and a relatively high implied Voc (673 mV) was confirmed within 3 min of HF treatment. The defect removal etching (DRE) process using KOH was applied to remove the lattice defect layer, and after 40 s of the DRE treatment, the implied Voc increased to ~ 680 mV. Thus, the RIE-textured mc-Si solar cell showed a power conversion efficiency of 19.6%, which was ~ 0.6% higher than that of acid-textured mc-Si solar cells based on the increase in short-circuit current (Isc).
- Published
- 2020
105. Novel Quad-Interface MTJ Technology and its First Demonstration With High Thermal Stability Factor and Switching Efficiency for STT-MRAM Beyond 2X nm
- Author
-
Shoji Ikeda, Y. Noguchi, Hiroaki Honjo, H. Inoue, T. Watanabe, K. Nishioka, Hiroki Sato, Takaho Tanigawa, Sadahiko Miura, M. Yasuhira, and Tetsuo Endoh
- Subjects
010302 applied physics ,Magnetoresistive random-access memory ,Materials science ,business.industry ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Tunnel magnetoresistance ,Stack (abstract data type) ,Physical vapor deposition ,Product (mathematics) ,0103 physical sciences ,Optoelectronics ,Thermal stability ,Electrical and Electronic Engineering ,Reactive-ion etching ,business ,Scaling - Abstract
We have proposed a novel quad-interface magnetic tunnel junction (MTJ) technology which brings forth an increase of both thermal stability factor $\Delta $ and switching efficiency defined as the ratio of $\Delta $ to intrinsic critical current ${I}_{\text {C0}}$ ( $\Delta /{I}_{\text {C0}}$ ) by a factor of 1.5–2 compared with the conventional double-interface MTJ technology. The free layer of the developed quad interface consists of bottom-MgO/FL1/middle-MgO/FL2/top-MgO stack structure. We successfully fabricated the quad-interface MTJ using a 300-mm process based on a novel low-damage integration process including physical vapor deposition (PVD), reactive ion etching (RIE), and so on. By developing the quad-interface MTJ, we have achieved about two times larger $\Delta $ and $\Delta /{I}_{\text {C0}}$ at the same time. Moreover, we have achieved about two times larger tunnel magnetoresistance (TMR) ratio at the same resistance area (RA) product by developing the FL1, bottom-MgO, and middle-MgO. The developed quad-interface MTJ technology considered as post-double-interface MTJ technology will become an essential technology for the scaling of the spin-transfer-torque magnetoresistive random access memory (STT-MRAM) beyond 20 nm.
- Published
- 2020
106. A plasmon-enhanced broadband absorber fabricated by black silicon with self-assembled gold nanoparticles
- Author
-
Chunhui Tian, Tingyu Liu, Yong Liu, Huang Jian, Song Yiji, He Yanjun, Shuang Liu, Zhiyong Zhong, Yu Tianyi, and Li Jiacheng
- Subjects
010302 applied physics ,Materials science ,Fabrication ,Silicon ,business.industry ,Black silicon ,chemistry.chemical_element ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Colloidal gold ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,Reactive-ion etching ,Photonics ,business ,Absorption (electromagnetic radiation) ,Plasmon - Abstract
A lithography-free, self-assembly fabrication route exploiting black silicon (B-Si) microstructures to design a perfect absorber based on densely and disordered packed gold nanoparticles (AuNPs)-modified silicon forests have been proposed in this paper. The geometrical dimensions of microstructures were controlled by altering the flow rate of SF6/O2 in the reactive ion etching (RIE) chamber. The RIE B-Si shows a unique geometry that consists of a combination of sharp “needles” at the top and rounded “holes” at the bottom, and also shows an excellent adaptive relationship with Au deposition. By combining the light-trapping capability of the B-Si and the plasmonic nature of AuNPs in the near-infrared (NIR) range, an experimental absorption of 96.5% is achieved in a wide range from 350 to 2500 nm. This material has the potential for photonic applications, including solar energy harvesting or NIR-sensitive optoelectronic devices.
- Published
- 2020
107. Functionalized PdAu Alloy on Nanocones Fabricated on Optical Fibers for Hydrogen Sensing
- Author
-
Rongtao Cao, Guanquan Liang, Paul R. Ohodnicki, Jingyu Wu, and Kevin P. Chen
- Subjects
Materials science ,Optical fiber ,Hydrogen ,business.industry ,010401 analytical chemistry ,Alloy ,chemistry.chemical_element ,engineering.material ,01 natural sciences ,0104 chemical sciences ,law.invention ,Core (optical fiber) ,chemistry ,law ,engineering ,Optoelectronics ,Fiber ,Electrical and Electronic Engineering ,Reactive-ion etching ,business ,Instrumentation ,Palladium ,Microfabrication - Abstract
This paper reports the development of fiber optical hydrogen sensors using palladium and gold alloy nanostructures as sensor materials for hydrogen measurements using a D-shaped fiber as a platform. Using a maskless reactive ion etching technique, silica nanocone structures were formed on the surface of the D-shaped fiber. Palladium and gold alloys were deposited on the surface of nanostructured D-shaped fiber to form a nano-alloy sensor film. Evanescent interaction between guided light propagated in the fiber core and nano-alloy enabled highly sensitive hydrogen detection from concentrations that ranged from 0.25% to 10% in atmosphere pressure. The formation of nanostructured alloy enabled by the nanocone surface led to more than 3 times faster in sensor response time and significant improvements in sensor sensitivity and reversibility. The work presented here demonstrates that highly controllable VLSI microfabrication schemes can be applied to produce nanostructured sensor films on optical fibers for high-sensitivity chemical sensing.
- Published
- 2020
108. Removal of Dry-Etch-Induced Surface Layer Damage from p-GaN by Photoelectrochemical Etching
- Author
-
Emma Rocco, Kasey Hogan, Vincent Meyers, B. McEwen, Fatemeh Shahedipour-Sandvik, Isra Mahaboob, and Sean Tozier
- Subjects
Materials science ,Gallium nitride ,macromolecular substances ,02 engineering and technology ,Surface finish ,01 natural sciences ,chemistry.chemical_compound ,stomatognathic system ,Hall effect ,0103 physical sciences ,Materials Chemistry ,Surface layer ,Electrical and Electronic Engineering ,Reactive-ion etching ,010302 applied physics ,fungi ,technology, industry, and agriculture ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,chemistry ,Chemical engineering ,Dry etching ,Inductively coupled plasma ,0210 nano-technology ,Selectivity - Abstract
A non-thermal method for removal of surface damage created by dry etching has previously been documented or n-GaN, but no such effort has been reported for p-GaN. In this study, Ga-polar p-GaN films were subjected to inductively coupled plasma reactive ion etching, creating damage near the surface and causing an inversion of the carrier type and concentration from + 5.5 × 1012 cm−2 to − 8.8 × 1010 cm−2, as measured by Hall effect. An application of the carrier-type-selective photoelectrochemical (PEC) etching technique using a KOH and K2S2O8 etch chemistry is employed in order to remove etch-face damage and recover the underlying p-GaN. We show the type selectivity of the PEC etching technique, demonstrating electroless etching of n-GaN while no interaction of the same etch chemistry with p-GaN is observed. Further, surface analysis shows that the PEC etch removes a surface layer from dry-etched p-GaN and increases its roughness substantially, from 0.64 nm to 71 nm RMS.
- Published
- 2020
109. Newly Developed Broadband Antireflective Nanostructures by Coating a Low-Index MgF2 Film onto a SiO2 Moth-Eye Nanopattern
- Author
-
Changwook Kim, Naufan Nurrosyid, Young Rag Do, Woong Kim, SeungJe Lee, Sung-Yeon Jang, Youngsoon Jeong, Gang Yeol Yoo, and Ilsun Yoon
- Subjects
Nanostructure ,Materials science ,business.industry ,Reflection loss ,Perovskite solar cell ,02 engineering and technology ,engineering.material ,021001 nanoscience & nanotechnology ,law.invention ,Indium tin oxide ,020210 optoelectronics & photonics ,Anti-reflective coating ,Coating ,law ,0202 electrical engineering, electronic engineering, information engineering ,engineering ,Nanosphere lithography ,Optoelectronics ,General Materials Science ,Reactive-ion etching ,0210 nano-technology ,business - Abstract
A newly developed nanopatterned broadband antireflective (AR) coating was fabricated on the front side of a glass/indium tin oxide/perovskite solar cell (PSC) by depositing a single interference layer onto a two-dimensional (2D)-patterned moth-eye-like nanostructure. The optimized developed AR nanostructure was simulated in a finite-difference time domain analysis. To realize the simulated developed AR nanostructure, we controlled the SiO2 moth-eye structure with various diameters and heights and a MgF2 single layer with varying thicknesses by sequentially performing nanosphere lithography, reactive ion etching, and electron-beam evaporation. Optimization of the developed AR nanostructure, which has a 100 nm-thick MgF2 film coated onto the SiO2 moth-eye-like nanostructure (diameter 165 nm and height 400 nm), minimizes the reflection loss throughout the visible range. As a result, the short-circuit current density (JSC) of the newly AR-coated PSC increases by 11.80%, while the open-circuit voltage (VOC) remains nearly constant. Therefore, the power conversion efficiency of the newly developed AR-decorated PSC increases by 12.50%, from 18.21% for a control sample to 20.48% for the optimum AR-coated sample. These results indicate that the newly developed MgF2/SiO2 AR nanostructure can provide an advanced platform technology that reduces the Fresnel loss and therefore increases the possibility of the commercialization of glass-based PSCs.
- Published
- 2020
110. Hole Formation in a Diamond Substrate of Hybrid-Monolithic Integral SHF Schemes
- Author
-
A. M. Temnov
- Subjects
Radiation ,Materials science ,Fabrication ,Silicon ,business.industry ,chemistry.chemical_element ,Substrate (electronics) ,Plasma ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,chemistry ,Etching (microfabrication) ,Optoelectronics ,Electrical and Electronic Engineering ,Inductively coupled plasma ,Reactive-ion etching ,business ,Lithography - Abstract
In this paper, we study the technological process of separating a heteroplate from a polycrystalline diamond film (PDF-Si), separating a whole plate from sacrificial silicon. The hole formation in a diamond substrate from PDF via laser milling and plasma-chemical etching is studied. Plasma-chemical etching provided batch hole fabrication based on planar technology and precision lithography. The technological modes of hole etching through an aluminum mask via reactive ion etching with an inductively coupled plasma source (RIE-ICP) were optimized. The hole etching rate in the PDF was ~ 1.1 μm/min. A mathematical model of the technological process is developed. Etching is shown to occur mainly in oxygen. The technological process is adapted for the plasma-chemical etching setup “Plasma TM5”. The possibility of etching in a PDF holes 100 μm in diameter and more than 300 μm deep was studied. The etching rate was shown to depend on the hole depth and is nonlinear in nature, with etching of the hole walls and the aluminum mask.
- Published
- 2020
111. Tunable Plasmonic Resonances in Hexagonally Ordered Gold Nanostructure Arrays with Increasing Interstice Size
- Author
-
P. Viswanath and Brindhu Malani S
- Subjects
Nanostructure ,Materials science ,business.industry ,Biophysics ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Biochemistry ,Surface plasmon polariton ,010309 optics ,0103 physical sciences ,Optoelectronics ,Figure of merit ,Surface plasmon resonance ,Reactive-ion etching ,0210 nano-technology ,business ,Spectroscopy ,Refractive index ,Plasmon ,Biotechnology - Abstract
Gold nanostructure arrays were fabricated by combining colloidal lithography with inclined reactive ion etching and inclinded sputtering. Field emission scanning electron microscopy studies of this substrate show uniaxial hexagonally ordered graded nanostructure arrays with increasing interstice at different positions. Optical spectroscopy studies in visible range reveal that these structures support localized surface plasmon resonance, surface plasmon polaritons, and hybridized modes. Optical spectra were found at each position along the direction of increasing interstice size, and the resonances tend to redshift with position. Different morphologies lead to 50 nm spectral tunability across 10 mm length of the substrate. Based on spectral resolution, optimum peak arising due to localized surface plasmon resonance in reflectance was selected for refractive index sensing application. The sensitivity and figure of merit were evaluated at each position. The sensitivity was found to increase accompanied by decrease in figure of merit with position. The highest sensitivity of 621.6 nm/RIU is obtained for the structure in which interstice size is maximum.
- Published
- 2020
112. High-Efficiency Flexible Perovskite Solar Cells Enabled by an Ultrafast Room-Temperature Reactive Ion Etching Process
- Author
-
Min-cheol Kim, Dong Geon Lee, Seung Lee Kwon, Sangwook Lee, Mansoo Choi, Jae Bum Jeon, Hyun Suk Jung, Young Un Jin, Yeonghun Yun, Gerrit Boschloo, and Byeong Jo Kim
- Subjects
Solid-state chemistry ,Fabrication ,Materials science ,Silicon ,business.industry ,Annealing (metallurgy) ,Energy conversion efficiency ,Photovoltaic system ,chemistry.chemical_element ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,chemistry ,Optoelectronics ,General Materials Science ,Reactive-ion etching ,0210 nano-technology ,business ,Mesoporous material - Abstract
Perovskite solar cells (PSCs), which have surprisingly emerged in recent years, are now aiming at commercialization. Rapid, low-temperature, and continuous fabrication processes that can produce high-efficiency PSCs with a reduced fabrication cost and shortened energy payback time are important challenges on the way to commercialization. Herein, we report a reactive ion etching (RIE) method, which is an ultrafast room-temperature technique, to fabricate mesoporous TiO2 (mp-TiO2) as an electron transport layer for high-efficiency PSCs. Replacing the conventional high-temperature annealing process by RIE reduces the total processing time for fabricating 20 PSCs by 40%. Additionally, the RIE-processed mp-TiO2 exhibits enhanced electron extraction, whereupon the optimized RIE-mp-TiO2-based PSC exhibits a power conversion efficiency (PCE) of 19.60% without J-V hysteresis, when the devices were optimized with a TiCl4 surface treatment process. Finally, a flexible PSC employing RIE-mp-TiO2 is demonstrated with 17.29% PCE. Considering that the RIE process has been actively used in the semiconductor industry, including for the fabrication of silicon photovoltaic modules, the process developed in this work could be easily applied toward faster, simpler, and cheaper manufacturing of PSC modules.
- Published
- 2020
113. Micro-profiling of 4H-SiC by Dry Etching to Form a Schottky Barrier Diode
- Author
-
O. I. Kon’kov, P. A. Ivanov, A. S. Potapov, N. M. Lebedeva, N. D. Il’inskaya, Yu. M. Zadiranov, and T. P. Samsonova
- Subjects
010302 applied physics ,Plasma etching ,Fabrication ,Materials science ,business.industry ,Transistor ,Schottky diode ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Ion ,Etching (microfabrication) ,law ,0103 physical sciences ,Optoelectronics ,Dry etching ,Reactive-ion etching ,0210 nano-technology ,business - Abstract
Methods of micro-profiling of 4H-SiC are described: formation of mesa structures with inclined walls (off-vertical wall inclination angle exceeding 45°) by reactive ion etching; etching of mesa structures with a flat bottom and inclined walls (off-vertical wall inclination angle being smaller than 45°) by ion-beam and reactive ion plasma etching. The application of etching methods in the fabrication technology of 4H-SiC-based mesa-epitaxial field-effect transistors with a Schottky gate is demonstrated.
- Published
- 2020
114. Perpendicularly aligned nanodomains on versatile substrates via rapid thermal annealing assisted by liquid crystalline ordering in block copolymer films
- Author
-
Ting Qu, Xiaoxiong Zheng, Song Guan, and Aihua Chen
- Subjects
Materials science ,Nanostructure ,business.industry ,General Engineering ,Bioengineering ,02 engineering and technology ,General Chemistry ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,0104 chemical sciences ,chemistry.chemical_compound ,chemistry ,Phase (matter) ,Polyethylene terephthalate ,Microelectronics ,Grazing-incidence small-angle scattering ,Optoelectronics ,General Materials Science ,Wafer ,Lamellar structure ,Reactive-ion etching ,0210 nano-technology ,business - Abstract
The highly ordered perpendicularly aligned cylindrical and lamellar microdomains within block copolymer (BCP) films have important applications in diverse fields. However, the fast normal orientation of self-assembled nanostructures on arbitrary substrates without tedious pre- and postprocessing has been a challenging issue in manufacturing miniaturized devices. Here, we outline the potential for extending the hierarchical self-assembly within azobenzene-containing PS-b-PMA(Az) films to inherently assist in the formation of normally aligned domains using a rapid thermal annealing process (140 °C for 5 min). Liquid crystalline (LC) mesogens in PS-b-PMA(Az) films self-assemble to form a parallelly aligned sematic phase after thermal annealing, as confirmed by grazing-incidence small-angle X-ray scattering (GISAXS), wide-angle X-ray diffraction (WAXD) and ultraviolet-visible (UV-vis) spectra. This sub-phase contributes to broadening of the PS-cylinder-phase window (0.083 ≤ fPS < 0.49) and ∼12 nm PS cylinder structures. Perpendicular cylinders or lamellae are observed on various substrates, such as silicon wafers, flexible polyethylene terephthalate (PET) sheets and conductive aluminum foils. Additionally, the good reactive ion etching (RIE) rate difference between the two blocks makes these BCPs more attractive for advancing the field of BCP lithographic applications for fabricating flexible microelectronic devices.
- Published
- 2020
115. Wafer-scale fabrication and modification of silicon nano-pillar arrays for nanoelectronics, nanofluidics and beyond
- Author
-
Han Gardeniers, Dirk Jonker, Arie van Houselt, Hai Le-The, Lucas Johannes Kooijman, Bjorn T.H. Borgelink, Erwin Berenschot, Jan C.T. Eijkel, Raymond J. E. Hueting, Yasser Pordeli, Roald M. Tiggelaar, Bernhard Yonathan van der Wel, Niels Roelof Tas, Meint J. de Boer, Mesoscale Chemical Systems, Physics of Interfaces and Nanomaterials, MESA+ Institute, Integrated Devices and Systems, Physics of Fluids, and Biomedical and Environmental Sensorsystems
- Subjects
Reactive ion etching ,Materials science ,Fabrication ,3D nanoelectronics ,Mixed-mode ,Nanoelectronics ,Nanowire ,Electro-osmotic pump ,Nanofluidics ,Bioengineering ,Nanotechnology ,Condensed Matter Physics ,Continuous mode ,SiNW ,Nanolithography ,Silicon nanowires ,Materials Chemistry ,3D nanofabrication ,Wafer ,Electrical and Electronic Engineering ,Reactive-ion etching ,Lithography ,Additive hybrid lithography - Abstract
We report on the fabrication and modification of a top-down nanofabrication platform for enormous parallel silicon nanowire-based devices. We explain the nanowire formation in detail, using an additive hybrid lithography step, optimising a reactive ion etching recipe for obtaining smooth and vertical nanowires under a hybrid mask, and embedding the nanowire in a dielectric membrane. The nanowires are used as a sacrificial template, removal of the nanowires forms arrays of well-defined nano-pores with a high surface density. This platform is expected to find applications in many different physical domains, including nanofluidics, (3D) nanoelectronics, as well as nanophotonics. We demonstrate the employment of the platform as field emitter arrays, as well as a state-of-the-art electro-osmotic pump.
- Published
- 2020
116. Optimization of the geometry of the reactor inductance coil for reactive-ion etching by modeling in the COMSOL Multiphysics software package
- Author
-
G.S. Eritsyan and E.V. Endiiarova
- Subjects
010302 applied physics ,Materials science ,Multiphysics ,Geometry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Inductor ,01 natural sciences ,Finite element method ,Computer Science::Other ,Inductance ,Physics::Plasma Physics ,Etching (microfabrication) ,Electromagnetic coil ,0103 physical sciences ,Electric potential ,Reactive-ion etching ,0210 nano-technology - Abstract
The work aims to optimise the geometry of the inductor of a reactive-ion etching reactor using the COMSOL Multiphysics package, including the calculation of the electron temperature, density, and electric potential of a plasma. A “fine” finite element mesh was used with a minimum finite element size (8.6·10−5) m in the simulation. It was shown that to obtain a high density plasma with a high electric potential and electron temperature, it is necessary to use an inductor with fewer turns.
- Published
- 2020
117. Challenges in Processing Diamond Wire Cut and Black Silicon Wafers in Large-Scale Manufacturing of High Efficiency Solar Cells
- Author
-
Yudhbir Kaushal, D. S. Murthy, Nagesh Chikkan, Kishan Shetty, and Chandra Mauli Kumar
- Subjects
Amorphous silicon ,Materials science ,Silicon ,020209 energy ,chemistry.chemical_element ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,engineering.material ,law.invention ,chemistry.chemical_compound ,Etching (microfabrication) ,law ,Solar cell ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Wafer ,Reactive-ion etching ,business.industry ,Black silicon ,Diamond ,020206 networking & telecommunications ,chemistry ,engineering ,Optoelectronics ,business - Abstract
Texturing of diamond wire cut wafers using a standard wafer etch process chemistry has always been a challenge in solar cell manufacturing industry. This is due to the change in surface morphology of diamond wire cut wafers and the abundant presence of amorphous silicon content, which are introduced from wafer manufacturing industry during sawing of multi-crystalline wafers using ultra-thin diamond wires. The industry standard texturing process for multi-crystalline wafers cannot deliver a homogeneous etched silicon surface, thereby requiring an additive compound, which acts like a surfactant in the acidic etch bath to enhance the texturing quality on diamond wire cut wafers. Black silicon wafers on the other hand require completely a different process chemistry and are normally textured using a metal catalyst assisted etching technique or by plasma reactive ion etching technique. In this paper, various challenges associated with cell processing steps using diamond wire cut and black silicon wafers along with cell electrical results using each of these wafer types are discussed.
- Published
- 2020
118. Reactive ion etching of an ovonic threshold switch (OTS) material using hydrogen-based plasmas for non-volatile phase change memories
- Author
-
Ye Eun Kim, Doo San Kim, Ju Eun Kim, Yun Jong Jang, Geun Young Yeom, You Jung Gill, O-Ik Kwon, Hye-Jin Choi, and Jin Woo Park
- Subjects
010302 applied physics ,Materials science ,Hydrogen ,General Chemical Engineering ,technology, industry, and agriculture ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,General Chemistry ,Surface finish ,Nitride ,021001 nanoscience & nanotechnology ,01 natural sciences ,Nitrogen ,chemistry ,0103 physical sciences ,Surface roughness ,Reactive-ion etching ,0210 nano-technology ,Carbon ,Deposition (law) - Abstract
Etch characteristics of ovonic threshold switch (OTS) materials composed of Ge–As–Te for a phase-change random access memory (PCRAM) has been investigated using reactive ion etching via hydrogen-based gases such as H2, CH4, NH3, CH4 + H2, and CH4 + NH3. Among the investigated hydrogen-based gases, NH3 showed the highest etching rate of about 0.52 nm s−1, but the formation of nitride compounds and the increased roughness were observed on the OTS surface by nitrogen. The use of other hydrogen-based gases such as CH4 and CH4 + H2 showed the deposition and low OTS etch rate, respectively, due to the presence of carbon in CH4. Even though H2 showed the better etch characteristics due to the no surface residues or compounds on the OTS surface related to carbon or nitrogen in the etch gases, the best OTS etch characteristics such as the second highest etch rate of 0.45 nm s−1, the lowest surface roughness of 0.21 nm, and no surface residues or compounds were observed with CH4 + NH3 due to the removal of carbon and nitrogen on the surface by the formation of volatile CN compounds while maintaining a high hydrogen atomic concentration in the plasma.
- Published
- 2020
119. Chemical and physical mechanisms of dielectric chemical mechanical polishing (CMP)
- Author
-
Y. Moon
- Subjects
Materials science ,Yield (engineering) ,Hardware_GENERAL ,Semiconductor device fabrication ,Chemical-mechanical planarization ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Nanotechnology ,Material removal ,Hardware_PERFORMANCEANDRELIABILITY ,Dielectric ,Reactive-ion etching ,Metal gate - Abstract
Dielectric chemical mechanical polishing (CMP) was implemented in semiconductor fabrication as a simple alternative planarization process for the interlevel dielectrics surface instead of the reactive ion etching process of the 1980s. Since then, there have been a growing number of applications of the dielectric CMP process in advanced semiconductor fabrication because of multiple “new” integration schemes (such as replacement metal gate (RMG), or self-aligned contact), which require advanced planarization technology. It is important to understand its history, material removal mechanism, defect formation, and present and future applications to comprehend why dielectric CMP has to be used, how the material is removed, and how the defect is created. By understanding what has been studied on the current dielectric CMP process, future applications of dielectric CMP can be further improved for better process performance and, therefore, for better device performance and yield.
- Published
- 2022
120. Interstitial Light Trapping and Optical Confinement in Multijunction Solar Cells
- Author
-
Alexander Mellor, Phillip P. Jenkins, Phoebe Pearce, Nicole A. Kotulak, Stephanie Tomasulo, Michael K. Yakes, Erin R. Cleveland, and Ned Ekins-Daukes
- Subjects
010302 applied physics ,Fabrication ,Materials science ,business.industry ,02 engineering and technology ,Trapping ,021001 nanoscience & nanotechnology ,Distributed Bragg reflector ,01 natural sciences ,law.invention ,law ,0103 physical sciences ,Solar cell ,Optoelectronics ,Photolithography ,Reactive-ion etching ,0210 nano-technology ,business ,Absorption (electromagnetic radiation) ,Diffraction grating - Abstract
We recently demonstrated an ultra-thin solar cell with increased radiation tolerance as compared to a traditionally thick absorber counterpart. However, as the active region of the device was reduced so was the absorption with respect to state of the art devices. Therefore, we discuss a light trapping design, which implements nanosphere natural photolithography to fabricate a micropillared diffraction grating, combined with a transparent spacer layer and a distributed Bragg reflector. We discuss the fabrication process and illustrate the effectiveness of integrating light trapping structures for enhanced optical confinement within an ultra-thin solar cell design.
- Published
- 2022
121. Improved oxidation resistance of CoNiCrAlTaHfY/Co coating on C/C composites by vapor phase surface alloying
- Author
-
Wen Xi, Wenqiang Ding, Shengwang Yu, Naiming Lin, Qi Guo, Xiaoping Liu, and Tianxu Meng
- Subjects
Materials science ,Scanning electron microscope ,Mechanical Engineering ,technology, industry, and agriculture ,Substrate (electronics) ,engineering.material ,Condensed Matter Physics ,Microstructure ,Honeycomb structure ,Coating ,Mechanics of Materials ,Etching (microfabrication) ,engineering ,General Materials Science ,Reactive-ion etching ,Composite material ,Layer (electronics) - Abstract
A CoNiCrAlTaHfY/Co composite coating was prepared on the etched C/C composites by using duplex vapor phase surface alloying treatments, i.e., Co alloying and Co–Ni–Cr–Al–Ta–Hf–Y alloying. Microstructures and oxidation behavior of the coated C/C composites were analyzed by scanning electron microscopy, energy-dispersive spectroscopy, and X-ray diffraction. The result showed that the CoNiCrAlTaHfY/Co composite coating, 25 µm in thickness, was compact and composed of CrCoTa, AlCo2Ta, AlxCry, AlxNiy, and Co. The coating adhesion can be enhanced by microwave plasma chemical vapor deposition etching of matrix surface and adding a Co intermediate layer between the CoNiCrAlTaHfY top layer and C/C composites substrate. The honeycomb structure after etching was helpful to alloying element absorb and diffuse into substrate surface, and the composite coating continuation was improved by the Co buffer layer. After exposing in air for 180 min at 1000 °C, the bulk C/C composites volatilized while the loss rate of coated C/C composites was 0.82%, showing an improved oxidation resistance. Mixed oxides mainly containing Al2O3 and Cr2O3 were formed in the composite coating surface and protected the C/C composites from oxidation in air.
- Published
- 2019
122. PLASMA PARAMETERS AND COMPOSITION IN CF4 + O2 + Ar AND CHF3 + O2 +Ar IN REACTIVE ION ETCHING PROCESSES
- Author
-
Alexander M. Sobolev, Vladimir B. Betelin, A. M. Efremov, and Kwang-Ho Kwon
- Subjects
Polymerization ,Plasma parameters ,Chemistry ,General Chemical Engineering ,Ionization ,Kinetics ,Composition (visual arts) ,General Chemistry ,Plasma ,Reactive-ion etching ,Photochemistry ,Dissociation (chemistry) - Abstract
The comparative analysis of both CF4+O2+Ar and CHF3+O2+Ar plasma systems under the typical conditions of reactive ion etching of silicon and silicon-based compounds was carried out. The data on internal plasma parameters, plasma chemistry as well as the steady-state plasma composition were obtained using a description of Langmuir probe diagnostics and 0-dimensional (global) plasma modeling. As a presented in the literature, both experimental and modeling procedures were carried out at constant total gas pressure, input power, bias power. The obtained results allowed one 1) to figure out the influence of oxygen on steady-state densities of plasma active species through the kinetics of both electron-impact and atom-molecular reactions; 2) to understand the features of fluorine atoms and fluorocarbon radicals kinetics which determine chemical activity and polymerization ability of plasmas in respect to treated surfaces; 3) to perform the model-bases analysis of heterogeneous process kinetics (etching, polymerization, polymer destruction) which determine the overall etching regime and output parameters. It was found that the substitution of argon for oxygen in both gas mixtures 1) results in monotonic increase in fluorine atom density; 2) is accompanied by decreasing polymerization ability of a gas phase and 3) causes the rapid (by about two orders of magnitude at ~ 20% О2) decrease in fluorocarbon polymer film thickness with the higher values for CHF3+O2+Ar system.
- Published
- 2019
123. Plasma Damages of Thin Oxide Measured by a Large Charge Collecting Antenna Structure
- Author
-
Marijan Maček, Isheng‐Liu, Andrej Belič, Al. V. Kordesch, and Radko Osredkar
- Subjects
Plasma etching ,Renewable Energy, Sustainability and the Environment ,business.industry ,Chemistry ,Oxide ,Electrical engineering ,Charge density ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Etching (microfabrication) ,Gate oxide ,Materials Chemistry ,Electrochemistry ,Optoelectronics ,Reactive-ion etching ,business ,Plasma processing ,Ohmic contact - Abstract
Damage of the gate oxide induced by plasma processing, due to charge buildup on conductors in ohmic contact with the oxide, was studied by special test structures consisting of a small test capacitor connected to large charge collecting antennas on conducting layers. Effects of various plasma processing steps in a model complementary metal oxide semiconductor process on the gate oxide quality, as revealed by the charge to breakdown (Q bd ) measurements, after successive processing steps are reported. The most pronounced degradation of the oxide quality occurred at the metal-1 etch: ΔQ bd =-1.9 C/cm 2 or 360 pC/μm of exposed edge. About 220 pC/μm of the cumulative value is due to the metal-1 etching and 140 pC/μm is due to the partial photoresist ashing
- Published
- 2019
124. Reactive ion etching for fabrication of biofunctional titanium nanostructures
- Author
-
Peter-Leon Hagedoorn, Hongzhi Zhang, Mahya Ganjian, Lidy E. Fratila-Apachitei, Khashayar Modaresifar, and Amir A. Zadpoor
- Subjects
0301 basic medicine ,Materials science ,Nanostructure ,Scanning electron microscope ,chemistry.chemical_element ,lcsh:Medicine ,02 engineering and technology ,Article ,Contact angle ,03 medical and health sciences ,Implants ,Reactive-ion etching ,lcsh:Science ,Nanopillar ,Multidisciplinary ,lcsh:R ,Bioinspired materials ,Nanoindentation ,021001 nanoscience & nanotechnology ,030104 developmental biology ,chemistry ,Chemical engineering ,OA-Fund TU Delft ,lcsh:Q ,Wetting ,0210 nano-technology ,Titanium - Abstract
One of the major problems with the bone implant surfaces after surgery is the competition of host and bacterial cells to adhere to the implant surfaces. To keep the implants safe against implant-associated infections, the implant surface may be decorated with bactericidal nanostructures. Therefore, fabrication of nanostructures on biomaterials is of growing interest. Here, we systematically studied the effects of different processing parameters of inductively coupled plasma reactive ion etching (ICP RIE) on the Ti nanostructures. The resultant Ti surfaces were characterized by using scanning electron microscopy and contact angle measurements. The specimens etched using different chamber pressures were chosen for measurement of the mechanical properties using nanoindentation. The etched surfaces revealed various morphologies, from flat porous structures to relatively rough surfaces consisting of nanopillars with diameters between 26.4 ± 7.0 nm and 76.0 ± 24.4 nm and lengths between 0.5 ± 0.1 μm and 5.2 ± 0.3 μm. The wettability of the surfaces widely varied in the entire range of hydrophilicity. The structures obtained at higher chamber pressure showed enhanced mechanical properties. The bactericidal behavior of selected surfaces was assessed against Staphylococcus aureus and Escherichia coli bacteria while their cytocompatibility was evaluated with murine preosteoblasts. The findings indicated the potential of such ICP RIE Ti structures to incorporate both bactericidal and osteogenic activity, and pointed out that optimization of the process conditions is essential to maximize these biofunctionalities.
- Published
- 2019
125. Effect of the microstructures of yttria ceramics on their plasma corrosion behavior
- Author
-
Katsumi Yoshida and Hiroaki Ashizawa
- Subjects
010302 applied physics ,Materials science ,Plasma etching ,Process Chemistry and Technology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Microstructure ,01 natural sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Corrosion ,visual_art ,0103 physical sciences ,Materials Chemistry ,Ceramics and Composites ,visual_art.visual_art_medium ,Surface roughness ,Grain boundary ,Ceramic ,Composite material ,Inductively coupled plasma ,Reactive-ion etching ,0210 nano-technology - Abstract
To demonstrate the relation between the microstructure of ceramics and their plasma corrosion behavior, sintered yttria (Y2O3) ceramics with various grain sizes and porosities were fabricated under various sintering conditions, and the effect of the microstructures of the Y2O3 ceramics on their plasma corrosion behavior was investigated. The Y2O3 samples were investigated by inductively coupled plasma reactive ion etching using fluorine plasma. After plasma exposure, the plasma corrosion depth and surface roughness (Sa) values of the materials were measured using a laser scanning microscope. The surface microstructures after plasma corrosion were observed by scanning electron microscopy. It was found that the plasma depth showed an almost linear change with plasma exposure time, and all the original surfaces of the samples were corroded by over 0.7 μm during plasma exposure for 60 min. The Sa values of the Y2O3 samples as a result of plasma exposure were significantly different in that although the Sa values of low-density Y2O3 samples increased with an increase in the plasma exposure time, the values of their high-density counterparts did not change and the initial surface roughness was maintained. The fluorine plasma was found to homogeneously corrode the surfaces of the sintered Y2O3 ceramics, regardless of their grain boundaries or grain sizes. However, when internal pores were present in the samples, these internal pores were selectively corroded from their edges, becoming crater-like plasma corrosion marks. Overall, a high-density Y2O3 ceramic was found, which retained its initial surface roughness regardless of plasma exposure time, with an ideal microstructure for use as a plasma-resistant ceramic material that can be used as the inner ceramic components in the plasma etching equipment.
- Published
- 2019
126. Dry etching of monocrystalline silicon using a laser-induced reactive micro plasma
- Author
-
Robert Heinke, Martin Ehrhardt, Klaus Zimmer, and Pierre Lorenz
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,Laser ,Substrate (electronics) ,Monocrystalline silicon ,Etching (microfabrication) ,Reactive-ion etching ,Reactive etching ,Materials of engineering and construction. Mechanics of materials ,Plasma etching ,Microplasma ,business.industry ,fungi ,technology, industry, and agriculture ,Plasma formation ,Surfaces and Interfaces ,CF4 ,Surfaces, Coatings and Films ,TP250-261 ,chemistry ,Industrial electrochemistry ,TA401-492 ,Optoelectronics ,Dry etching ,Si ,business - Abstract
Dry etching is a prevalent technique for pattern transfer and material removal in microelectronics, optics and photonics due to its high precision material removal with low surface and subsurface damage. These processes, including reactive ion etching (RIE) and plasma etching (PE), are performed at vacuum conditions and provide high selectivity and vertical side wall etched patterns but create high costs and efforts in maintenance due to the required machinery. In contrast to electrically generated plasmas, laser-induced micro plasmas are controllable sources of reactive species in gases at atmospheric pressure that can be used for dry etching of materials. In the present study, we have demonstrated the laser-induced plasma etching of monocrystalline silicon. A Ti:Sapphire laser has been used for igniting an optically pumped plasma in a CF4/O2 gas mixture near atmospheric pressure. The influence of process parameters, like substrate temperature, O2 concentration, plasma-surface distance, etching duration, pulse energy and crystal orientation on etching rate and surface morphology has been investigated. Typical etching rates of 2–12 µm x min−1 can be achieved by varying mentioned parameters with a decreasing etching rate during the process. Different morphologies can be observed due to the parameters set, smooth as well as rough surfaces or even inverted pyramids. The presented etching method provides an approach for precise machining of silicon surfaces with good surface qualities near atmospheric pressure and sufficiently high material removal rates for ultraprecise surface machining.
- Published
- 2021
127. Side edge emission from a waveguide substrate
- Author
-
Mykyta Kolchiba and Yoichi Ogata
- Subjects
Diffraction ,Total internal reflection ,Materials science ,business.industry ,Substrate (electronics) ,Diffraction efficiency ,Waveguide (optics) ,Atomic and Molecular Physics, and Optics ,law.invention ,Optics ,Optical microscope ,law ,Electrical and Electronic Engineering ,Reactive-ion etching ,business ,Engineering (miscellaneous) ,Electron-beam lithography - Abstract
In this study, we fabricated embedded titanium dioxide ( T i O 2 ) nanograting structures with slanted cross section on a silicon dioxide ( S i O 2 ) substrate using electron beam lithography (EBL) and reactive ion etching (RIE) methods, and we analyzed their optical signals. The surface morphologies of the embedded T i O 2 nanograting structures were monitored by a scanning optical microscope (SEM). By focusing the transverse electric (TE) polarized beam with the wavelength λ = 633 n m at the incident angle θ = 22.0 ∘ from the rear side of T i O 2 face, we could observe + 1 st and − 2 nd diffraction orders with diffraction efficiencies ( I / I t o t a l ): I 1 = 23 % and I 2 = 19 % , respectively, on a S i O 2 / T i O 2 interface. Diffraction beams were waveguided to both left and right directions in a S i O 2 substrate via total internal reflection (TIR); next, two guided beams were emitted from the side edges of the substrate. This work is expected to develop a highly efficient two-way waveguide optical interconnector.
- Published
- 2021
128. Vertically Aligned n-Type Silicon Nanowire Array as a Free-Standing Anode for Lithium-Ion Batteries
- Author
-
Evvy Kartini, Ferry Iskandar, Naufal Hanif Hawari, Andam Deatama Refino, Erwin Peiner, Andika Pandu Nugroho, Nursidik Yulianto, Afriyanti Sumboja, Bagas Prakoso, and Hutomo Suryo Wasisto
- Subjects
Battery (electricity) ,nanowire array ,Materials science ,Silicon ,business.industry ,General Chemical Engineering ,Nanowire ,chemistry.chemical_element ,law.invention ,Anode ,Chemistry ,chemistry ,law ,Electrode ,silicon anode ,Optoelectronics ,Li-ion battery ,General Materials Science ,Wafer ,silicon nanowire ,Reactive-ion etching ,Photolithography ,n-type silicon anode ,business ,QD1-999 - Abstract
Due to its high theoretical specific capacity, a silicon anode is one of the candidates for realizing high energy density lithium-ion batteries (LIBs). However, problems related to bulk silicon (e.g., low intrinsic conductivity and massive volume expansion) limit the performance of silicon anodes. In this work, to improve the performance of silicon anodes, a vertically aligned n-type silicon nanowire array (n-SiNW) was fabricated using a well-controlled, top-down nano-machining technique by combining photolithography and inductively coupled plasma reactive ion etching (ICP-RIE) at a cryogenic temperature. The array of nanowires ~1 µm in diameter and with the aspect ratio of ~10 was successfully prepared from commercial n-type silicon wafer. The half-cell LIB with free-standing n-SiNW electrode exhibited an initial Coulombic efficiency of 91.1%, which was higher than the battery with a blank n-silicon wafer electrode (i.e., 67.5%). Upon 100 cycles of stability testing at 0.06 mA cm−2, the battery with the n-SiNW electrode retained 85.9% of its 0.50 mAh cm−2 capacity after the pre-lithiation step, whereas its counterpart, the blank n-silicon wafer electrode, only maintained 61.4% of 0.21 mAh cm−2 capacity. Furthermore, 76.7% capacity retention can be obtained at a current density of 0.2 mA cm−2, showing the potential of n-SiNW anodes for high current density applications. This work presents an alternative method for facile, high precision, and high throughput patterning on a wafer-scale to obtain a high aspect ratio n-SiNW, and its application in LIBs.
- Published
- 2021
- Full Text
- View/download PDF
129. Stable field emission from vertically oriented SiC nanoarrays
- Author
-
Chi Li, Ke Chen, Guanjiang Liu, Mattew Thomas Cole, Jianfeng Xiao, Jiuzhou Zhao, Dai Qing, Shenghan Zhou, Zhenjun Li, and Xinchuan Liu
- Subjects
SiC ,Materials science ,one-dimensional nanomaterials ,business.industry ,General Chemical Engineering ,Field emitter array ,field emission ,Field strength ,Article ,Chemistry ,chemistry.chemical_compound ,Field electron emission ,chemistry ,silicon carbide ,Silicon carbide ,Optoelectronics ,nanoarrays ,General Materials Science ,Wafer ,Reactive-ion etching ,business ,QD1-999 ,nanomaterials ,Electron gun ,Common emitter - Abstract
Silicon carbide (SiC) nanostructure is a type of promising field emitter due to high breakdown field strength, high thermal conductivity, low electron affinity, and high electron mobility. However, the fabrication of the SiC nanotips array is difficult due to its chemical inertness. Here we report a simple, industry-familiar reactive ion etching to fabricate well-aligned, vertically orientated SiC nanoarrays on 4H-SiC wafers. The as-synthesized nanoarrays had tapered base angles >, 60°, and were vertically oriented with a high packing density >, 107 mm−2 and high-aspect ratios of approximately 35. As a result of its high geometry uniformity—5% length variation and 10% diameter variation, the field emitter array showed typical turn-on fields of 4.3 V μm−1 and a high field-enhancement factor of ~1260. The 8 h current emission stability displayed a mean current fluctuation of 1.9 ± 1%, revealing excellent current emission stability. The as-synthesized emitters demonstrate competitive emission performance that highlights their potential in a variety of vacuum electronics applications. This study provides a new route to realizing scalable field electron emitter production.
- Published
- 2021
130. Effect of Reactive Ion Etching on the Luminescence of GeV Color Centers in CVD Diamond Nanocrystals
- Author
-
Sergey A. Grudinkin, Mikhail A. Baranov, Kirill Bogdanov, Alexander V. Baranov, N. A. Feoktistov, and Valery G. Golubev
- Subjects
Photoluminescence ,Materials science ,General Chemical Engineering ,Diamond ,Chemical vapor deposition ,engineering.material ,Molecular physics ,Article ,chemical vapor deposition ,Chemistry ,Condensed Matter::Materials Science ,color centers ,Etching (microfabrication) ,Raman spectroscopy ,engineering ,diamond nanocrystals ,General Materials Science ,photoluminescence ,Reactive-ion etching ,Spectroscopy ,Nanodiamond ,Luminescence ,QD1-999 - Abstract
The negatively charged germanium-vacancy GeV− color centers in diamond nanocrystals are solid-state photon emitters suited for quantum information technologies, bio-sensing, and labeling applications. Due to the small Huang–Rhys factor, the GeV−-center zero-phonon line emission is expected to be very intensive and spectrally narrow. However, structural defects and the inhomogeneous distribution of local strains in the nanodiamonds result in the essential broadening of the ZPL. Therefore, clarification and elimination of the reasons for the broadening of the GeV− center ZPL is an important problem. We report on the effect of reactive ion etching in oxygen plasma on the structure and luminescence properties of nanodiamonds grown by hot filament chemical vapor deposition. Emission of GeV− color centers ensembles at about 602 nm in as-grown and etched nanodiamonds is probed using micro-photoluminescence and micro-Raman spectroscopy at room and liquid nitrogen temperature. We show that the etching removes the nanodiamond surface sp2-induced defects resulting in a reduction in the broad luminescence background and a narrowing of the diamond Raman band. The zero-phonon luminescence band of the ensemble of the GeV− centers is a superposition of narrow lines originated most likely from the GeV− center sub-ensembles under different uniaxial local strain conditions.
- Published
- 2021
- Full Text
- View/download PDF
131. Embedded contamination induced by etching in E-beam deposited silica: A possible precursor to laser damage
- Author
-
Alexander A. Shesopalov, Nan Liu, Stavros G. Demos, Amy L. Rigatti, Brittany N. Hoffman, Russell Dent, and A. A. Kozlov
- Subjects
Materials science ,chemistry ,Chemical engineering ,Etching (microfabrication) ,Trench ,technology, industry, and agriculture ,Fluorine ,Electron beam processing ,chemistry.chemical_element ,Surface finish ,Reactive-ion etching ,Contamination ,Carbon - Abstract
We investigate contamination induced in grating-like structures during the etching process as a possible precursor to laser-induced damage. Our experimental model utilizes 5-mm line structures fabricated in E-beam–deposited coatings of silica using reactive ion etching (RIE) and reactive ion beam etching (RIBE). This makes it possible to compare the behavior in the pillars and trench regions. The results suggest that surface contaminants are primarily fluorinated polymers, while embedded contaminants consist primarily of carbon with very low detection of fluorine. Samples fabricated by the RIBE method exhibit significantly reduced roughness in the trenches, yet still present similar embedded contamination.
- Published
- 2021
132. Quasi-Random Gratings Enabled by Wrinkled Photoresist Surfaces on a Rigid Substrate
- Author
-
Hongyu Sun, Bingdong Chang, and Ding Zhao
- Subjects
Reactive ion etching ,Materials science ,Fabrication ,Polydimethylsiloxane ,Silicon ,chemistry.chemical_element ,Substrate (electronics) ,Photoresist ,Random gratings ,chemistry.chemical_compound ,chemistry ,Wrinkles ,General Materials Science ,Polystyrene ,Thin film ,Deformation (engineering) ,Reactive-ion etching ,Composite material - Abstract
Micro- A nd nanoscale surface wrinkling has been widely studied in artificial systems, mostly in soft substrates like polydimethylsiloxane or polystyrene, where the wrinkling dynamics are triggered by thermal stresses or tensile prestrains. Here, we introduce a new wrinkling regime based on photoresist layers on top of a rigid substrate. By introducing a bending deformation, combined with fluorine-based plasma treatment, wrinkles with a characteristic wavelength less than 1 μm can be created. By adding micropatterns on photoresists with standard UV exposure, ordered wrinkles can also be realized. This technique is demonstrated to be applicable in several commercially available photoresists, and the wrinkled patterns can be employed conveniently to create high-aspect-ratio silicon gratings and large-area silicon dioxide membranes. This unique strategy broadens the spectrum of available materials to create wrinkled surfaces in a controllable manner and provides a platform for the easier fabrication of wrinkle-based devices.
- Published
- 2021
133. Versatilely tuned vertical silicon nanowire arrays by cryogenic reactive ion etching as a lithium-ion battery anode
- Author
-
Ferry Iskandar, Tobias Voss, Afriyanti Sumboja, Andika Pandu Nugroho, Hutomo Suryo Wasisto, Nursidik Yulianto, Andam Deatama Refino, Evvy Kartini, Alina Syring, Erwin Peiner, Iqbal Syamsu, and Naufal Hanif Hawari
- Subjects
Battery (electricity) ,Materials science ,Silicon ,Science ,Nanowire ,chemistry.chemical_element ,Article ,law.invention ,Batteries ,Etching (microfabrication) ,law ,ddc:6 ,Veröffentlichung der TU Braunschweig ,ddc:62 ,Reactive-ion etching ,Multidisciplinary ,business.industry ,Nanowires ,Anode ,chemistry ,Medicine ,Optoelectronics ,Lithium ,Publikationsfonds der TU Braunschweig ,Photolithography ,business - Abstract
Production of high-aspect-ratio silicon (Si) nanowire-based anode for lithium ion batteries is challenging particularly in terms of controlling wire property and geometry to improve the battery performance. This report demonstrates tunable optimization of inductively coupled plasma reactive ion etching (ICP-RIE) at cryogenic temperature to fabricate vertically-aligned silicon nanowire array anodes with high verticality, controllable morphology, and good homogeneity. Three different materials [i.e., photoresist, chromium (Cr), and silicon dioxide (SiO2)] were employed as masks during the subsequent photolithography and cryogenic ICP-RIE processes to investigate their effects on the resulting nanowire structures. Silicon nanowire arrays with a high aspect ratio of up to 22 can be achieved by tuning several etching parameters [i.e., temperature, oxygen/sulfur hexafluoride (O2/SF6) gas mixture ratio, chamber pressure, plasma density, and ion energy]. Higher compressive stress was revealed for longer Si wires by means of Raman spectroscopy. Moreover, an anisotropy of lattice stress was found at the top and sidewall of Si nanowire, indicating compressive and tensile stresses, respectively. From electrochemical characterization, half-cell battery integrating ICP-RIE-based silicon nanowire anode exhibits a capacity of 0.25 mAh cm−2 with 16.67% capacity fading until 20 cycles, which has to be improved for application in future energy storage devices.
- Published
- 2021
134. Usage of dry processes for the formation of diffractive structures on Ti and Ti/Si films
- Author
-
Dmitry A. Belousov, Viktor P. Korolkov, Anatoly I. Malyshev, Aleksey E. Matochkin, and Roman I. Kuts
- Subjects
Masking (art) ,Materials science ,business.industry ,Annealing (metallurgy) ,Substrate (electronics) ,Laser ,law.invention ,law ,Etching (microfabrication) ,Phase (matter) ,Optoelectronics ,Reactive-ion etching ,business ,Layer (electronics) - Abstract
The paper describes methods for manufacturing of diffractive optical elements by means of only "dry" processes starting from direct laser writing on titanium-containing films. According to first approach, direct laser writing onto thin Ti film forms surface oxide mask. Reactive ion etching removes non-oxidized Ti film and develops "latent" oxidized image. Subsequent thermal annealing of the oxidized Ti structure in air makes the mask more stable for following reactive ion etching of fused silica substrate to ensure proper phase depth of the binary diffractive structure. This makes it possible to avoid liquid etching, which reduces the yield and accuracy. The phase structure of the diffractive elements manufactured using the described method consists of the grooves etched in the fused silica substrate between ridges covered by TiO2 between them. We found out also that covering the Ti film by very thin Si layer helps to increase laser energy absorption at direct writing and creates quite resistant masking layer TiSi2 for the reactive ion etching. Preliminary estimates show that dual layer Si/Ti films can be used to create amplitude reflective DOEs. Possible application area for the developed methods is manufacturing of the diffractive optical elements used for precision generation of reference wavefronts in interferometric measurements of spherical and aspherical surfaces.
- Published
- 2021
135. Enhanced Light-trapping with Conformal ALD Coating of Black Silicon by High-k Metal Oxides
- Author
-
G. Y. Ayvazyan, K.G. Ayvazyan, M. S. Lebedev, and M. V. Katkov
- Subjects
Materials science ,Fabrication ,Silicon ,business.industry ,Black silicon ,chemistry.chemical_element ,engineering.material ,Atomic layer deposition ,chemistry.chemical_compound ,Coating ,chemistry ,engineering ,Optoelectronics ,Wafer ,Reactive-ion etching ,business ,High-κ dielectric - Abstract
We present investigations of optical properties of black silicon (b-Si) nanostructures passivated with several high-k metal oxides (Al 2 O 3 , TiO 2 , HfO 2 and Sc 2 O 3 ), obtained by atomic layer deposition (ALD) method. The reflectivity was studied using the finite difference time domain (FDTD) method as well as experimentally, where Si wafers was structured by reactive ion etching method for b-Si fabrication. Modeling and measurements show improvements in the antireflection properties of thin-film/b-Si nanostructures over a wide range of light wavelengths. TiO 2 , HfO 2 and Sc 2 O 3 films provide a good alternative to Al 2 O 3 as passivating materials and antireflection coatings.
- Published
- 2021
136. Effect of Plasma, RF, and RIE Treatments on Properties of Double-Sided High Voltage Solar Cells with Vertically Aligned p-n Junctions.
- Author
-
Semenenko, Mykola O., Dusheiko, Mykhailo G., Mamykin, Sergiy V., Ganus, Valeriy O., Kirichenko, Mykhailo V., Zaitsev, Roman V., Kharchenko, Mykola M., and Klyui, N. I.
- Subjects
- *
P-N junctions (Semiconductors) , *REACTIVE-ion etching , *SOLAR cells , *HYDROGEN plasmas , *PHOTOELECTRIC cells , *RESIDUAL stresses , *INTERFACES (Physical sciences) , *CHARGE carriers - Abstract
Si-based solar cells with vertically aligned p-n junctions operating at high voltage were designed and fabricated. The plasma treatments and antireflection coating deposition on the working surfaces of both single- and multijunction cells were made using the special holders. It was shown that additional treatment of solar cells in argon plasma prior to hydrogen plasma treatment and deposition of diamond-like carbon antireflection films led to the improvement of the cell efficiency by up to 60%. Radio frequency waves support plasma generation and improve photoelectric conversion mainly due to reduction of internal stresses at the interfaces. Application of reactive ion etching technique removes the broken layer, reduces elastic strain in the wafer, decreases recombination of charge carriers in the bulk, and provides cell efficiency increase by up to ten times. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
137. Surface micromachining of polydimethylsiloxane for microfluidics applications.
- Author
-
Hill, Staci, Weiyi Qian, Weiqiang Chen, and Jianping Fu
- Subjects
- *
POLYDIMETHYLSILOXANE , *MICROMACHINING , *MICROFLUIDICS , *REACTIVE-ion etching , *PHOTOLITHOGRAPHY , *HYDROPHILIC interactions - Abstract
Polydimethylsiloxane (PDMS) elastomer has emerged as one of the most frequently applied materials in microfluidics. However, precise and large-scale surface micromachining of PDMS remains challenging, limiting applications of PDMS for microfluidic structures with high-resolution features. Herein, surface patterning of PDMS was achieved using a simple yet effective method combining direct photolithography followed by reactive-ion etching (RIE). This method incorporated a unique step of using oxygen plasma to activate PDMS surfaces to a hydrophilic state, thereby enabling improved adhesion of photoresist on top of PDMS surfaces for subsequent photolithography. RIE was applied to transfer patterns from photoresist to underlying PDMS thin films. Systematic experiments were conducted in the present work to characterize PDMS etch rate and etch selectivity of PDMS to photoresist as a function of various RIE parameters, including pressure, RF power, and gas flow rate and composition. We further compared two common RIE systems with and without bias power and employed inductively coupled plasma and capacitively coupled plasma sources, respectively, in terms of their PDMS etching performances. The RIE-based PDMS surface micromachining technique is compatible with conventional Si-based surface and bulk micromachining techniques, thus opening promising opportunities for generating hybrid microfluidic devices with novel functionalities. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
138. Synergetic PEDOT degradation during a reactive ion etching process.
- Author
-
Khaldi, Alexandre, Maziz, Ali, Plesse, Cédric, Soyer, Caroline, Vidal, Frédéric, and Cattan, Eric
- Subjects
- *
THIOPHENE derivatives , *CHEMICAL decomposition , *REACTIVE-ion etching , *CONJUGATED polymers , *ORGANIC light emitting diodes , *POLYMER networks - Abstract
Conjugated polymer etching is a key step in the integration of electro-chemical devices into microsystems, and one of the most important challenges for this type of material is to achieve fast etching with commercially available equipment. Conjugated polymer electrochemical devices are promising as they are used in different devices such as OLED, sensors, supercapacitors and actuators. The recent emergence of conducting interpenetrating polymer network actuators (IPN) based on poly(3,4-ethylenedioxythiophene) (PEDOT) has allowed operation frequencies of over 1 kHz to be attained, thus pushing the limits of the conjugated polymer technology. The plasma dry etching step of these PEDOT-based active mechanical devices, with high etching rates of around 2 μm min −1 , enables the production of these electrochemomechanical devices. To understand the high etching rate of these materials a systematic study of the chemical degradation mechanism of each polymer has been carried on. From the analysis of the etching of all the polymer actuator components, a chemical self-degradation mechanism is proposed to explain the surprisingly high etching rate obtained for PEDOT based materials. Finally, to conclude this study, the usefulness of this fast etching is demonstrated with the operation of standalone micro-beam actuators. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
139. Table of contents.
- Subjects
- *
REACTIVE-ion etching , *SEMICONDUCTOR industry , *INTEGRATED circuits - Abstract
Presents the table of contents for this issue of the publication. [ABSTRACT FROM PUBLISHER]
- Published
- 2016
- Full Text
- View/download PDF
140. CHF3/O2-Based Plasma Reactive Ion Etching of GeTe for Nonvolatile Phase Change Memory.
- Author
-
Xia, Yangyang, Liu, Bo, Wang, Qing, Yao, Dongning, Gao, Dan, Xu, Zhen, Zhang, Zhonghua, Song, Zhitang, Song, Sannian, Guo, Xiaohui, Zheng, Hao, and Feng, Songlin
- Subjects
- *
PHASE change memory , *REACTIVE-ion etching , *FLUOROFORM , *OXYGEN plasmas , *GERMANIUM telluride , *PHASE change materials - Abstract
The reactive-ion-etching (RIE) characteristics of phase change material GeTe in CHF3/O2 plasma for back end of nonvolatile phase change memory devices were investigated in this paper. The etch rate and surface root-mean-square (RMS) roughness of crystalline GeTe and amorphous GeTe films were studied with various etching parameters, such as gas mixture ratio, chamber gas pressure, and power. It is found that the etch rate first increases with the increasing concentration of O2 and get at peak value 68.5 nm/min at 12% O2/(CHF3+O2), then decreases from 12% to 20% of O2 fraction. The surface RMS roughness slightly increases with the increasing oxygen content. By changing the gas pressure, the etch rate of GeTe films increases approximately linearly with increasing gas pressure up to 50 mTorr. In addition, the etch rate increases approximately linearly with increasing power below 400 W. The XPS spectra shows that some non-volatile GeFx, GeOx, TeFx, and TeOx by-products were left on the etched film surface. From these results of etched TiN films and GeTe films, the result of RIE with one step recipe shows the formation of under-cut on the profile of etched film. However, the result of RIE with two steps recipe shows better vertical characteristic and few residues were left on the profile of etched film. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
141. Chromatographic separation of simulants of nerve and blister agents by combining one- and two-channel columns with different stationary phases.
- Author
-
Yuan, Huan, Du, Xiaosong, Li, Yi, Zhao, Xulan, and Xu, Ming
- Subjects
- *
CHEMICAL warfare agents , *GAS chromatography , *SEPARATION (Technology) , *REACTIVE-ion etching , *CHEMICAL weapons - Abstract
A two-channel gas chromatography column and a single-channel column were made by deep reactive-ion etching technology. The two short columns were coated with different stationary phases, and then linked without a modulator. This is to aim at increasing the sample capacity and achieving a higher separation efficiency in complex environments. The results show that the capacity of the connected column is approximately 4 and 1.5 times larger than that of the single- and two-channel columns, respectively. The linked column was utilized to separate a six-component mixture, composed of three simulants of nerve and blister agents and three interfering vapors. The results demonstrate that the combined column has a remarkably higher separation efficiency than the individual columns, and an acceptable resolution is achieved although the total length of the linked column is only 1.5 m. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
142. Nanoforest of black silicon fabricated by AIC and RIE method.
- Author
-
Tan, Qiulin, Tang, Licheng, Mao, Haiyang, Chen, Yuanjing, Ren, Yaohui, Lei, Cheng, Yuan, Feng, Ou, Wen, and Xiong, Jijun
- Subjects
- *
CRYSTALLIZATION , *SILICON , *MICROFABRICATION , *ALUMINUM , *REACTIVE-ion etching , *X-ray photoelectron spectroscopy - Abstract
A nanoforest of black silicon was prepared using a method combining aluminum-induced crystallization (AIC) and reactive-ion etching (RIE). During the AIC period, a rough layer, incorporating AlSix intermetallics, was formed. This layer acted as an etch mask for the RIE step. X-ray photoelectron spectroscopic (XPS) measurements were performed to further analyze the formation of black silicon. Compared to Si 3 N 4 and polysilicon, the black silicon exhibits a high degree of infrared absorption for wavelengths of about 3 to 5 μm and 8 to 14 μm, respectively. In addition, black platinum overlaid on the base of black silicon further improves the infrared absorption. This nanostructure forests of black silicon could be incorporated into the fabrication process for gas detectors, photovoltaic devices, and imaging applications to improve the infrared absorption characteristics. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
143. Fabrication of high aspect ratio silicon micro-structures based on aluminum mask patterned by IBE and RIE processing.
- Author
-
Mu, Jiliang, Chou, Xiujian, He, Ting, Ma, Zongmin, He, Jian, and Xiong, Jijun
- Subjects
- *
NANOSILICON , *ALUMINUM silicates , *METAL fabrication , *REACTIVE-ion etching , *CHEMICAL reactions - Abstract
Al mask combined with DRIE, which was etched by IBE and conventional RIE, were used to fabricate silicon micro-trench structures with high aspect ratio (HAR) for MEMS capacitors application. And the effects of IBE and RIE on mask and further on HAR structures are discussed. For Al mask, IBE produces smooth profile while RIE induces irregular breaches at the opening edge. For HAR structures, the sample affected by IBE shows less critical dimensional loss, lower sidewall roughness, and higher aspect ratio than that by RIE. Origin of these phenomena is that RIE is dominated by chemical reaction and aided by physical sputtering, and shows isotropy trendy, while IBE which is performed by pure physical sputtering exhibits anisotropy. In addition, etch rate shows a linear dependence on well-controlled process parameters and is uniform in IBE; in contrary, it shows coupling of uncertain variables and induces nonlinear performance in RIE. Consequently, the HAR trench structures with the average width of 5.98 μm, the aspect ratio of 24.4, and the verticality of 1.17 μm have been successfully fabricated by the synergetic effects of IBE and DRIE. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
144. Nanostructures for Achieving Selective Properties of a Thermophotovoltaic Emitter
- Author
-
Ondřej Man, Alexandr Knápek, Pavel Škarvada, Alexandr Otahal, Milan Matějka, Zuzana Pokorná, Tomáš Fořt, Filip Mika, Pavel Čudek, Lucie Šimonová, and T. Kralik
- Subjects
Materials science ,thermophotovoltaics ,Silicon ,business.industry ,General Chemical Engineering ,chemistry.chemical_element ,Substrate (printing) ,selective emitters ,reactive ion etching ,Article ,Chemistry ,electron beam lithography ,chemistry ,Thermophotovoltaic ,emissivity ,nanostructures ,Emissivity ,Surface roughness ,Optoelectronics ,General Materials Science ,Reactive-ion etching ,business ,QD1-999 ,Electron-beam lithography ,Common emitter - Abstract
This paper focuses on the research and development of a suitable method for creating a selective emitter for the visible and near-infrared region to be able to work optimally together with silicon photovoltaic cells in a thermophotovoltaic system. The aim was to develop a new method to create very fine structures beyond the conventional standard (nanostructures), which will increase the emissivity of the base material for it to match the needs of a selective emitter for the VIS and NIR region. Available methods were used to create the nanostructures, from which we eliminated all unsuitable methods, for the selected method, we established the optimal procedure and parameters for their creation. The development of the emitter nanostructures included the necessary substrate pretreatments, where great emphasis was placed on material purity and surface roughness. Tungsten was purposely chosen as the main material for the formation of the nanostructures, we verified the effect of the formed structure on the resulting emissivity. This work presents a new method for the formation of nanostructures, which are not commonly formed in such fineness, by this, it opens the way to new possibilities for achieving the desired selectivity of the thermophotovoltaic emitter.
- Published
- 2021
145. Low temperature micro-photoluminescence spectroscopy of microstructures with InAsP/InP strained quantum wells
- Author
-
Erwine Pargon, S. Ghanad-Tavakoli, Camille Petit-Etienne, Jean-Pierre Landesman, Ray R. LaPierre, Juan Jiménez, N Isik-Goktas, Christophe Levallois, Institut des Fonctions Optiques pour les Technologies de l'informatiON (Institut FOTON), Université de Rennes 1 (UR1), Université de Rennes (UNIV-RENNES)-Université de Rennes (UNIV-RENNES)-Institut National des Sciences Appliquées - Rennes (INSA Rennes), Institut National des Sciences Appliquées (INSA)-Université de Rennes (UNIV-RENNES)-Institut National des Sciences Appliquées (INSA)-École Nationale Supérieure des Sciences Appliquées et de Technologie (ENSSAT)-Centre National de la Recherche Scientifique (CNRS)-IMT Atlantique Bretagne-Pays de la Loire (IMT Atlantique), Institut Mines-Télécom [Paris] (IMT)-Institut Mines-Télécom [Paris] (IMT), Minatec, McMaster University [Hamilton, Ontario], Laboratoire des technologies de la microélectronique (LTM ), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA), Universidad de Valladolid [Valladolid] (UVa), Université de Rennes (UR)-Institut National des Sciences Appliquées - Rennes (INSA Rennes), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-École Nationale Supérieure des Sciences Appliquées et de Technologie (ENSSAT)-Centre National de la Recherche Scientifique (CNRS), Renatech+ France, RGPAS-2018-522624, Natural Sciences and Engineering Research Council of Canada, VA283P18, MINECO, École Nationale Supérieure des Sciences Appliquées et de Technologie (ENSSAT)-IMT Atlantique Bretagne-Pays de la Loire (IMT Atlantique), Institut Mines-Télécom [Paris] (IMT)-Institut Mines-Télécom [Paris] (IMT)-Institut National des Sciences Appliquées - Rennes (INSA Rennes), Institut National des Sciences Appliquées (INSA)-Université de Rennes (UNIV-RENNES)-Institut National des Sciences Appliquées (INSA)-Université de Rennes (UNIV-RENNES)-Centre National de la Recherche Scientifique (CNRS)-Université de Rennes 1 (UR1), and Université de Rennes (UNIV-RENNES)
- Subjects
Materials science ,Acoustics and Ultrasonics ,micro-PL ,02 engineering and technology ,01 natural sciences ,[SPI]Engineering Sciences [physics] ,0103 physical sciences ,Pozos cuánticos ,Reactive-ion etching ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Spectroscopy ,Quantum well ,010302 applied physics ,business.industry ,Micro-photoluminescence ,Micro-fotoluminiscencia ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Microstructure ,reactive ion etching ,Micro photoluminescence ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Quantum wells ,[PHYS.COND.CM-MS]Physics [physics]/Condensed Matter [cond-mat]/Materials Science [cond-mat.mtrl-sci] ,[SPI.OPTI]Engineering Sciences [physics]/Optics / Photonic ,Optoelectronics ,0210 nano-technology ,business ,InAs x P 1−x /InP quantum wells - Abstract
Producción Científica, Ridge microstructures were prepared by reactive ion etching (RIE) of a series of stacked InAsxP$_{1-x}$ quantum wells (QWs) with step graded compositions grown on InP by molecular beam epitaxy. These microstructures were characterized by low temperature micro-photoluminescence. The photoluminescence (PL) emission associated with each of the QWs was clearly identified and a model for their line shape was implemented. PL line-scans were measured across etched ridge stripes of various widths in an optical cryostat, with a spatial resolution of 1 µm. The model for the PL spectra allowed accurate extraction of the local PL integrated intensities, spectral positions and line widths. Two different RIE processes, using CH4/H2 and CH4/Cl2, were investigated. The PL line-scans showed strong variations of the integrated PL intensities across the etched stripes. The PL intensities for all QWs increased gradually from the edge to the center of the ridge microstructures, over a length scale of 10–20 µm. On the other hand, the spectral peak position of the PL lines remained constant (within an accuracy of 0.2–0.4 meV, depending on which QW was considered) across the microstructures. These observations are discussed in terms of the mechanical stress induced by the RIE processes, the relaxation of the biaxial built-in compressive stress in the InAsP QWs (induced by the free surfaces at the vertical etched sidewalls), and also by the non-radiative recombination at these sidewalls. Altogether, this study illustrates the contribution that specially designed test structures, coupled with advanced spectroscopic characterization, can provide to the development of semiconductor photonic devices (e.g. lasers or waveguides) involving RIE processing., Natural Sciences and Engineering Research Council of Canada (grants RGPIN-2018-04015 and RGPAS-2018-522624), Junta de Castilla y León (project VA283P18), Ministerio de Economía, Industria y Competitividad (project RTI2018-101020-B-I00)
- Published
- 2021
146. Introducing ‘low cost large scale’ hydrophobic SERS substrate
- Author
-
Anand Kumar, Abhay Anand Vs, Kishor K. Mandal, Saurabh Dixit, Anuj Kumar Singh, and Anshuman Kumar
- Subjects
symbols.namesake ,Materials science ,Fabrication ,technology, industry, and agriculture ,symbols ,Molecule ,Nanotechnology ,Substrate (electronics) ,Reactive-ion etching ,Surface-enhanced Raman spectroscopy ,Thin film ,Raman spectroscopy ,Plasmon - Abstract
Large-area nano-patterned surfaces invoking hydrophobicity hold great significance for Surface Enhanced RamanSpectroscopy or SERS substrates. Conventionally, these structures are fabricated using state-of-the-art litho-graphic techniques. These techniques while being efficient, are complex and are cost-ineffective. Here, we report a low-cost, facile and scalable solution for fabrication of periodic array of metallic nanocones using colloidal lithography and reactive ion etching process. Nanocone array coated with gold thin film serves as a hydrophobicsurface with plasmonic properties. Hydrophobicity on the cones helps to keep the analyte molecule localized near the tip of nanocones where, due to plasmonic behavior of metal thin film i.e. field enhancement by the metal gives rise to significant SERS. We validate this concept through our fabricated substrate via detection ofRhodamine 6G molecules using Raman spectroscopy and report the limit of detection upto 1 nM.
- Published
- 2021
147. Highly Conductive Nanocrystalline Diamond Films and Electronic Metallization Scheme
- Author
-
Markus Mohr, K. Brühne, Hans-Jörg Fecht, and Xin Chen
- Subjects
DDC 540 / Chemistry & allied sciences ,Technology ,Materials science ,Silicon ,contact resistance ,nanocrystalline diamond ,chemistry.chemical_element ,engineering.material ,Article ,Nanodiamonds ,reactive-ion etching ,X-ray photoelectron spectroscopy ,Etching (microfabrication) ,Electron affinity ,DDC 620 / Engineering & allied operations ,General Materials Science ,ddc:530 ,Reactive-ion etching ,Microscopy ,QC120-168.85 ,DDC 530 / Physics ,Contact resistance ,QH201-278.5 ,Diamond ,Nanokristall ,Engineering (General). Civil engineering (General) ,hot-filament CVD ,TK1-9971 ,chemistry ,Chemical engineering ,Descriptive and experimental mechanics ,ddc:540 ,engineering ,Wetting ,Electrical engineering. Electronics. Nuclear engineering ,ddc:620 ,TA1-2040 - Abstract
By using a methane and hydrogen process gas mixture in an appropriate hot-filament CVD process without further dopant, high electrical conductivity of over 100 S/cm has been achieved in nanocrystalline diamond films deposited on silicon single-crystalline substrates. Furthermore, it was found that an oxygen reactive-ion etching process (O-RIE) can improve the diamond film surface’s electron affinity, thus reducing the specific contact resistance. The reduction of the specific contact resistance by a factor of up to 16 was realized by the oxygen ion etching process, down to 6×10−6 Ωcm2. We provide a qualitative explanation for the mechanism behind the contact resistance reduction in terms of the electron affinity of the diamond surface. With the aid of XPS, AFM, and surface wetting measurements, we confirmed that a higher surface electron affinity is responsible for the lower specific contact resistance of the oxygen-terminated nanocrystalline diamond films., publishedVersion
- Published
- 2021
148. Effect of Various Wafer Surface Etching Processes on c-Si Solar Cell Characteristics
- Author
-
Jeong Eun Park, Dong-Gun Lim, Chang-Soon Han, and Won Seok Choi
- Subjects
Technology ,Control and Optimization ,Materials science ,reflectance ,020209 energy ,Energy Engineering and Power Technology ,02 engineering and technology ,crystalline Silicon (c-Si) solar cell ,law.invention ,Etching (microfabrication) ,law ,metal-assisted chemical etching (MACE) ,reactive ion etching (RIE) ,Solar cell ,0202 electrical engineering, electronic engineering, information engineering ,Wafer ,Electrical and Electronic Engineering ,Reactive-ion etching ,Engineering (miscellaneous) ,Sheet resistance ,Common emitter ,Renewable Energy, Sustainability and the Environment ,business.industry ,021001 nanoscience & nanotechnology ,surface etching ,Isotropic etching ,Optoelectronics ,Quantum efficiency ,0210 nano-technology ,business ,Energy (miscellaneous) - Abstract
In order to analyze the effects of various sizes of pyramid structure on solar cell characteristics, a pyramid structure was formed on the wafer through various etching processes. In this paper, etching was performed using one-step etching processes such as alkaline solution etching, reactive ion etching (RIE), and metal-assisted chemical etching (MACE), and two-step etching processes such as alkaline solution + MACE and alkaline solution + RIE. The micro-sized pyramid-structured wafers formed using the alkali solution showed higher reflectivity than nano-sized pyramid-structured wafers. Accordingly, it was expected that the characteristics of the cells fabricated with a nano-sized pyramid-structured wafer having low reflectivity would be higher than that of a micro-sized pyramid-structured wafer. However, it was confirmed that the quantum efficiency characteristics in the short wavelength region were higher in the micro-sized pyramid-structured wafers than in the nano-sized pyramid-structured wafers. To confirm the reason for this, surface characteristics were analyzed through the deposition of an emitter layer on a wafer formed in a pyramidal structure. As a result, in the case of the nano-sized pyramid-structured wafer, the sheet resistance characteristics were lower due to the increased depth of the emitter layer in comparison to the micro-sized pyramid-structured wafer. Accordingly, it was determined that the quantum efficiency was degraded as a result of the high recombination rate.
- Published
- 2021
- Full Text
- View/download PDF
149. Using High Aspect Ratio AFM Probe for Digital Twin Development of SiC FEA
- Author
-
Ivan V. Sokolov, Vladimir A. Ilyin, Vladimir A. Golubkov, Konstantin Nikiforov, Nikolay Egorov, Denis V. Danilov, Valery Strebko, Alexey S. Ivanov, and Vladimir Mikhailovskiy
- Subjects
Materials science ,business.industry ,Finite element method ,Field electron emission ,chemistry.chemical_compound ,chemistry ,Silicon carbide ,Cathode ray ,Optoelectronics ,Deposition (phase transition) ,Development (differential geometry) ,Reactive-ion etching ,business ,Nanopillar - Abstract
The nanopillar growth method of deposition of metal-organic molecules by a sharply focused electron beam is used to form high aspect ratio AFM probe. It is applied to AFM-based digital twin development of two-tier silicon carbide field emission array (FEA), made in SPETU LETI by a two stage reactive ion etching technique in a fluoride atmosphere with high-density emission tips (of the second stage) and vertically oriented surface morphology. In digital twin approach the experimental information describing the detailed surface morphology are represented by a numerical multiscale simulation.
- Published
- 2021
150. Size-Dependent Electrical Transport Properties in Conducting Diamond Nanostripes
- Author
-
Peter Feng, Elluz Pacheco, Badi Zhou, and Andrew F. Zhou
- Subjects
Materials science ,Nanostructure ,ultrananocrystalline diamond (UNCD) ,General Chemical Engineering ,02 engineering and technology ,Chemical vapor deposition ,engineering.material ,01 natural sciences ,Electrical resistivity and conductivity ,0103 physical sciences ,General Materials Science ,electrical transport ,Reactive-ion etching ,QD1-999 ,010302 applied physics ,business.industry ,Scattering ,Communication ,Diamond ,nanostripe ,021001 nanoscience & nanotechnology ,Chemistry ,Nanolithography ,engineering ,Optoelectronics ,conductivity ,0210 nano-technology ,business ,Electron-beam lithography ,electrical resistivity - Abstract
With the advances in nanofabrication technology, horizontally aligned and well-defined nitrogen-doped ultrananocrystalline diamond nanostripes can be fabricated with widths in the order of tens of nanometers. The study of the size-dependent electron transport properties of these nanostructures is crucial to novel electronic and electrochemical applications. In this paper, 100 nm thick n-type ultrananocrystalline diamond thin films were synthesized by microwave plasma-enhanced chemical vapor deposition method with 5% N2 gas in the plasma during the growth process. Then the nanostripes were fabricated using standard electron beam lithography and reactive ion etching techniques. The electrical transport properties of the free-standing single nanostripes of different widths from 75 to 150 nm and lengths from 1 to 128 μm were investigated. The study showed that the electrical resistivity of the n-type ultrananocrystalline diamond nanostripes increased dramatically with the decrease in the nanostripe width. The nanostripe resistivity was nearly doubted when the width was reduced from 150 nm to 75 nm. The size-dependent variability in conductivity could originate from the imposed diffusive scattering of the nanostripe surfaces which had a further compounding effect to reinforce the grain boundary scattering.
- Published
- 2021
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.