235 results on '"Po-Tsang Huang"'
Search Results
102. Matrix Metalloprotease-7 Mediates Nucleolar Assembly and Intra-nucleolar Cleaving p53 in Gefitinib-Resistant Cancer Stem Cells
- Author
-
Dan Qi, Po-Tsang Huang, Erxi Wu, Wei-Hsuan Yu, Hsin-Han Hou, Chong-Jen Yu, Yongqing Li, Shuan-su C. Yu, and Wen-Hung Kuo
- Subjects
0301 basic medicine ,Multidisciplinary ,Nucleoplasm ,Nucleolus ,Chemistry ,02 engineering and technology ,Cell Biology ,Matrix (biology) ,Matrix metalloproteinase ,021001 nanoscience & nanotechnology ,Article ,law.invention ,Cell biology ,03 medical and health sciences ,030104 developmental biology ,Cancer stem cell ,law ,Suppressor ,lcsh:Q ,Stem cell ,lcsh:Science ,0210 nano-technology ,Nucleolin ,Molecular Biology ,Cancer - Abstract
Summary The enlarged distinct bulky-ball-like nucleolus matrix assembly is observed in most cancer stem cells (CSCs); however, the underlying mechanism is largely unknown. We show that matrix metalloproteinase-7 (MMP-7) shedding MUC-1 SEA domain releases MUC-1 C-ter, facilitating the nucleolus trafficking of p53 in gefitinib-resistant lung CSCs. The nucleolus colocalizations of p53, MUC-1 C-ter, MMP-7 and nucleolin were observed in the CD34+ CXADR+ CD44v3+ gefitinib-resistant EGFRL858R/T790M CSC colonies. MUC-1 C-ter induced a unique porous bulky-ball-shaped, cagelike nucleolus that functions as a nucleus molecular “garage” for potent tumor suppressor, p53. Nucleolus could also facilitate the novel sub-nucleus compartment for proteolytic processing p53 by MMP-7 to generate a 35 kDa fragment. Moreover, we show that salinomycin, an anti-CSC agent, disrupts nucleolus by inducing nucleoplasm translocation of p53 and sensitizing CSC to chemotherapy drugs. Thus, this study highlights the MMP-7-MUC-1-p53 axis in nucleolus as a potential therapeutic target for anti-CSCs to resolve the chemotherapy-resistance dilemma., Graphical Abstract, Highlights • MMP-7 cleaves the SEA domain of MUC-1 and releases MUC-1 C-ter • MUC-1 C-ter mediates bulky-ball-like nucleolus assembly trapping p53 in nucleolus • MMP-7 cleaves p53 to 35 kDa fragments in the nucleolus of gefitinib-resistant CSCs • Salinomycin induces p53 nucleoplasm translocation sensitizing CSCs to gefitinib, Molecular Biology; Cell Biology; Cancer
- Published
- 2020
103. The Penetration Depth for Hanatoxin Partitioning into the Membrane Hydrocarbon Core Measured with Neutron Reflectivity
- Author
-
Yu-Shuan Shiau, Pei-Ming Chen, Horng-Huei Liou, Po-Tsang Huang, Kuo-Long Lou, Michael James, Ming-Tao Lee, Meng-Hsuan Hsieh, Po-Huang Liang, Isaac Furay Yu, Tsang-Lang Lin, and Stephen A. Holt
- Subjects
0301 basic medicine ,Membrane potential ,Materials science ,Bilayer ,Surfaces and Interfaces ,Gating ,Condensed Matter Physics ,Core (optical fiber) ,03 medical and health sciences ,chemistry.chemical_compound ,030104 developmental biology ,0302 clinical medicine ,Membrane ,chemistry ,Electrochemistry ,Biophysics ,General Materials Science ,Hanatoxin ,Penetration depth ,POPC ,030217 neurology & neurosurgery ,Spectroscopy - Abstract
Hanatoxin (HaTx) from spider venom works as an inhibitor of Kv2.1 channels, most likely by interacting with the voltage sensor (VS). However, the way in which this water-soluble peptide modifies the gating remains poorly understood as the VS is deeply embedded within the bilayer, although it would change its position depending on the membrane potential. To determine whether HaTx can indeed bind to the VS, the depth at which HaTx penetrates into the POPC membranes was measured with neutron reflectivity. Our results successfully demonstrate that HaTx penetrates into the membrane hydrocarbon core (∼9 Å from the membrane surface), not lying on the membrane-water interface as reported for another voltage sensor toxin (VSTx). This difference in penetration depth suggests that the two toxins fix the voltage sensors at different positions with respect to the membrane normal, thereby explaining their different inhibitory effects on the channels. In particular, results from MD simulations constrained by our penetration data clearly demonstrate an appropriate orientation for HaTx to interact with the membranes, which is in line with the biochemical information derived from stopped-flow analysis through delineation of the toxin-VS binding interface.
- Published
- 2018
- Full Text
- View/download PDF
104. A 0.5-V 28-nm 256-kb Mini-Array Based 6T SRAM With Vtrip-Tracking Write-Assist
- Author
-
Wei-Sheng Peng, Kuen-Di Lee, Po-Tsang Huang, Shang-Lin Wu, Sheng-Chi Lung, Ming-Hsien Tu, Ching-Te Chuang, Huan-Shun Huang, Kuang-Yu Li, Yung-Shin Kao, and Wei Hwang
- Subjects
Engineering ,Power gating ,business.industry ,020208 electrical & electronic engineering ,Electrical engineering ,02 engineering and technology ,Chip ,020202 computer hardware & architecture ,CMOS ,Dynamic demand ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Inverter ,Static random-access memory ,Electrical and Electronic Engineering ,business ,Low voltage ,Voltage - Abstract
This paper presents a 28-nm 256-kb 6T static random access memory operating down to near-threshold regime. The cell array is built on foundry 4-by-2 mini-array with split single-ended large signal sensing to enable an ultra-short local bit-line of 4-b length to improve variation tolerance and performance, and to reduce disturb while maintaining manufacturability. The design employs threshold power gating to facilitate lower NAP (Sleep) mode voltage/power and faster wake-up for the cell array, and low-swing global read bit-line (GRBL) with integrated low-swing voltage precharger to improve read performance and reduce the dynamic read power. A cell Vtrip-tracking write-assist (VTWA) lowers the selected sub-array supply to cell inverter trip voltage to enhance write-ability while providing PVT tracking capability to ensure adequate data retention margin for unselected cells in the selected sub-array. The 256-kb test chip is implemented in UMC 28-nm high- $\kappa $ metal-gate (H $\kappa $ MG) CMOS technology with macro area of $1058.22\times 374.76~\mu \text{m}^{2}$ . Error-free full functionality is achieved from 0.9 down to 0.5 V (limited by read VMIN without redundancy. The low-swing GRBL reduces dynamic power by 6.5% (8.0%) at 0.9 V (0.6 V). The VTWA improves the write VMIN by 75 mV (from 0.525 to 0.45 V). The measured maximum operation frequency is 735 MHz (20 MHz) at 0.9 V (0.5 V), TT corner, 25°.
- Published
- 2017
- Full Text
- View/download PDF
105. An Advanced 2.5-D Heterogeneous Integration Packaging for High-Density Neural Sensing Microsystem
- Author
-
Jr-Ming Chen, Jeng Ren Duann, Yan-Huei You, Ching-Te Chuang, Yu-Chieh Huang, Tzai-Wen Chiu, Wei Hwang, Jin-Chern Chiou, Yan-Yu Huang, Yen-Han Lin, Yu-Tao Yang, Shang-Lin Wu, Po-Tsang Huang, Yu-Chen Hu, Kuan-Neng Chen, and Hsiao-Chun Chang
- Subjects
010302 applied physics ,Microelectromechanical systems ,Interconnection ,Wire bonding ,Through-silicon via ,Computer science ,business.industry ,0206 medical engineering ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,020601 biomedical engineering ,01 natural sciences ,Dot pitch ,Electronic, Optical and Magnetic Materials ,Interference (communication) ,Hardware_GENERAL ,Microsystem ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Interposer ,Electronic engineering ,Electrical and Electronic Engineering ,business - Abstract
In the traditional neural sensing microstructure, the limited metal line pitch and the metal layer numbers restrict the neural signal routing ability from electrodes to circuit chips. Miniature packaging and excessive noise interference bottlenecks are some of the challenges faced by the electrodes and circuit chips integration with traditional wire bonding. This paper proposes a 2.5-D heterogeneous integration neural sensing microsystem based on the silicon substrate to overcome these issues. With standard semiconductor and 3-D integration processes, high-channel-density (256 channels at 25 mm2) neural sensing microsystem is achieved. Through silicon via provides the shortest vertical interconnection and dramatically minimizes the packaging. Furthermore, the interposer can carry multiple chips to enhance the function of the biosensor. Electrical characteristics and reliability examinations reveal its high quality and great performance as compared to traditional approaches. This novel highly integrated neural sensing microsystem is expected to contribute to the biomedical field for exploring and solving unknown biological mysteries.
- Published
- 2017
- Full Text
- View/download PDF
106. A 16-Gb/s 14.7-mW Tri-Band Cognitive Serial Link Transmitter With Forwarded Clock to Enable PAM-16/256-QAM and Channel Response Detection
- Author
-
Li Du, Wei-Han Cho, Po-Tsang Huang, Sheau Jiung Lee, Yuan Du, Jieqiong Du, Chunchen Liu, Yilei Li, Yanghyo Kim, Mau-Chung Frank Chang, Chien-Heng Wong, and Boyu Hu
- Subjects
Quadrature modulation ,Computer science ,Orthogonal frequency-division multiplexing ,Equalization (audio) ,02 engineering and technology ,Carrierless amplitude phase modulation ,Amplitude modulation ,Channel capacity ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Figure of merit ,Digital signal ,Electrical and Electronic Engineering ,Amplitude and phase-shift keying ,Analog transmission ,business.industry ,020208 electrical & electronic engineering ,Bandwidth (signal processing) ,Transmitter ,Electrical engineering ,020206 networking & telecommunications ,Quadrature (mathematics) ,QAM ,Modulation ,Pulse-amplitude modulation ,Frequency domain ,Baseband ,Continuous wave ,Nyquist frequency ,business ,Frequency modulation ,Quadrature amplitude modulation ,Communication channel ,Phase-shift keying - Abstract
A cognitive tri-band transmitter (TX) with a forwarded clock using multiband signaling and high-order digital signal modulations is presented for serial link applications. The TX features learning an arbitrary channel response by sending a sweep of continuous wave, detecting power level at the receiver side, and then adapting modulation scheme, data bandwidth, and carrier frequencies accordingly based on detected channel information. The supported modulation scheme ranges from nonreturn to zero/Quadrature phase shift keying (QPSK) to Pulse-amplitude modulation (PAM) 16/256-Quadrature amplitude modulation(QAM). The proposed highly reconfigurable TX is capable of dealing with low-cost serial channels, such as low-cost connectors, cables, or multidrop buses with deep and narrow notches in the frequency domain (e.g., a 40-dB loss at notches). The adaptive multiband scheme mitigates equalization requirements and enhances the energy efficiency by avoiding frequency notches and utilizing the maximum available signal-to-noise ratio and channel bandwidth. The implemented TX prototype consumes a 14.7-mW power and occupies 0.016 mm2 in a 28-nm CMOS. It achieves a maximum data rate of 16 Gb/s with forwarded clock through one differential pair and the most energy efficient figure of merit of 20.4 $\mu \text{W}$ /Gb/s/dB, which is calculated based on power consumption of transmitting per gigabits per second data and simultaneously overcoming per decibel worst case channel loss within the Nyquist frequency.
- Published
- 2017
- Full Text
- View/download PDF
107. A 7.5-mW 10-Gb/s 16-QAM wireline transceiver with carrier synchronization and threshold calibration for mobile inter-chip communications in 16-nm FinFET
- Author
-
Sheau-Jiung Lee, Mau-Chung Frank Chang, Po-Tsang Huang, Yilei Li, Jieqiong Du, Yo-Hao Tu, Chien-Heng Wong, Wei-Han Cho, and Yuan Du
- Subjects
Interconnection ,Computer science ,Wireline ,020208 electrical & electronic engineering ,02 engineering and technology ,Chip ,Multiplexing ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Transceiver ,Clock recovery ,Quadrature amplitude modulation ,DC bias - Abstract
A compact energy-efficient 16-QAM wireline transceiver with carrier synchronization and threshold calibration is proposed to leverage high-density fine-pitch interconnects. Utilizing frequency-division multiplexing, the transceiver transfers four-bit data through one RF band to reduce intersymbol interferences. A forwarded clock is also transmitted through the same interconnect with the data simultaneously to enable low-power PVT-insensitive symbol clock recovery. A carrier synchronization algorithm is proposed to overcome nontrivial current and phase mismatches by including DC offset calibration and dedicated I/Q phase adjustments. Along with this carrier synchronization, a threshold calibration process is used for the transceiver to tolerate channel and circuit variations. The transceiver implemented in 16-nm FinFET occupies only 0.006-mm2 and achieves 10 Gb/s with 0.75-pJ/bit efficiency and
- Published
- 2019
- Full Text
- View/download PDF
108. A novel p53 barrier destruction mechanism through MMP‐7 mediating nucleolar matrix assembly & intra‐nucleolar cleaving p53 during cancer stem cell transformation
- Author
-
Wei-Hsuan Yu, Shuan Su C. Yu, Yongqing Li, Erxi Wu, and Po Tsang Huang
- Subjects
Transformation (genetics) ,Chemistry ,Cancer stem cell ,Mechanism (biology) ,Genetics ,Matrix (biology) ,Matrix metalloproteinase ,Molecular Biology ,Biochemistry ,Biotechnology ,Cell biology - Published
- 2019
- Full Text
- View/download PDF
109. An Energy-Efficient Accelerator with Relative- Indexing Memory for Sparse Compressed Convolutional Neural Network
- Author
-
Chin-Yang Lo, I-Chen Wu, Wei Hwang, and Po-Tsang Huang
- Subjects
0209 industrial biotechnology ,Speedup ,Computer science ,Computation ,Search engine indexing ,Task parallelism ,02 engineering and technology ,Parallel computing ,Convolutional neural network ,020901 industrial engineering & automation ,0202 electrical engineering, electronic engineering, information engineering ,020201 artificial intelligence & image processing ,Dram ,Efficient energy use - Abstract
Deep convolutional neural networks (CNNs) are widely used in image recognition and feature classification. However, deep CNNs are hard to be fully deployed for edge devices due to both computation-intensive and memory-intensive workloads. The energy efficiency of CNNs is dominated by off-chip memory accesses and convolution computation. In this paper, an energy-efficient accelerator is proposed for sparse compressed CNNs by reducing DRAM accesses and eliminating zero-operand computation. Weight compression is utilized for sparse compressed CNNs to reduce the required memory capacity/bandwidth and a large portion of connections. Thus, ReLU function produces zero-valued activations. Additionally, the workloads are distributed based on channels to increase the degree of task parallelism, and all-row- to-all-row non-zero element multiplication is adopted for skipping redundant computation. The simulation results over the dense accelerator show that the proposed accelerator achieves 1.79x speedup and reduces 23.51%, 69.53%, 88.67% on-chip memory size, energy, and DRAM accesses of VGG-16.
- Published
- 2019
- Full Text
- View/download PDF
110. Cu2+-induced quenching and recovery of the luminescence of dopamine-conjugated carbon dots for sensing deferasirox in plasma
- Author
-
Po-Tsang Huang, Shou-Mei Wu, Chun-Chi Wang, and Hwang Shang Kou
- Subjects
Detection limit ,Chemistry ,Metals and Alloys ,chemistry.chemical_element ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Fluorescence ,Copper ,0104 chemical sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Capillary electrophoresis ,Materials Chemistry ,Urea ,Methanol ,Electrical and Electronic Engineering ,0210 nano-technology ,Citric acid ,Luminescence ,Instrumentation ,Nuclear chemistry - Abstract
A novel dopamine-conjugated carbon dots (DA-CDs) sensor has been designed for estimation of deferasirox (DFX) in plasma of β-thalassemia. The carbon dots (CDs) were synthesized by using citric acid and urea as precursors and heated in the microwave. Then, the CDs was further conjugated with dopamine to obtain the final product, DA-CDs. The fluorescence intensity was first quenched by copper ions and recovered when DFX was added. Through the calculation of fluorescence recovery, the concentration of DFX was therefore determined. The optimized conditions were found at Cu2+ concentration of 20 μM, reaction time of DFX with Cu2+ of 30 s, methanol percentage of 30 % and pH value of 11. The limit of detection was found at 600 ng/mL. The linearity response for DFX was within the concentration range from 1 μg/mL to 10 μg/mL with a correlation coefficient greater than 0.9975. In intra-day (n = 3) and inter-day (n = 5) assays, the RSD values were less than 8.7 %, and the absolute RE values were less than 4.8 %. The method was further applied to real plasma samples from 5 β-thalassemia patients, and the RSD in the detection of real samples for successive 3 times were below 4.71 %. When the data of real samples was compared with that by using capillary electrophoresis (CE)-UV, the RE were below 4.78 %. The simple sensing technique for detection of DFX in plasma has been optimized, validated and applied in real samples and can be served as a tool for clinical survey.
- Published
- 2020
- Full Text
- View/download PDF
111. Location-controlled-grain Technique for Monolithic 3D BEOL FinFET Circuits
- Author
-
Shih-Wei Chen, Po-Tsang Huang, Wen-Kuan Yeh, Chenming Hu, Chih-Chao Yang, Chia-He Chang, Kuan-Neng Chen, Jia-Min Shieh, Meng-Chyi Wu, Wan-Chi Wu, Chang-Hong Shen, and Tung-Ying Hsieh
- Subjects
010302 applied physics ,Materials science ,business.industry ,Dielectric ,Swing ,01 natural sciences ,law.invention ,Pulsed laser deposition ,law ,0103 physical sciences ,Optoelectronics ,Crystallization ,business ,Electronic circuit - Abstract
A location-controlled-grain technique is presented for fabricating BEOL monolithic 3D FinFET ICs over SiO 2 . The grain-boundary free Si FinFETs thus fabricated exhibit steep sub-threshold swing ( $385\ \mu \mathrm{A}/\mu \mathrm{m}$ ), and high I on /I off (>106). According to simulation, the thickness of the interlayer dielectric plays an important role and shall be thicker than 250nm so that the sequential pulse laser crystallization process does not heat the bottom devices and interconnects to more than 400 °C.
- Published
- 2018
- Full Text
- View/download PDF
112. First Experimental Demonstration of Negative Capacitance InGaAs MOSFETs With Hf0.5Zr0.5O2 Ferroelectric Gate Stack
- Author
-
Lin Yue-Cin, S. H. Huynh, Q. H. Luc, Chenming Hu, C. C. Fan-Chiang, H. C. Wang, M. T. H. Ha, Edward Yi Chang, Y. D. Jin, H. Iwai, Yen-Han Lin, H. B. Do, Po-Tsang Huang, T. A. Nguyen, and K. Y. Zhang
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Annealing (metallurgy) ,chemistry.chemical_element ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Ferroelectricity ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,MOSFET ,Optoelectronics ,0210 nano-technology ,business ,Indium gallium arsenide ,Negative impedance converter - Abstract
We demonstrate, for the first time, the negative capacitance (NC) In 0.53 Ga 0.47 As nMOSFET with 8-nm Hf 0.5 Zr 0.5 O 2 (HZO) as ferroelectric (FE) dielectric for sub-60 mV/dec subthreshold swing (SS). The impact of annealing treatments on the FE properties and electrical characteristics of NC InGaAs nMOSFETs are investigated. Optimized annealing condition results in NC effects at the HZO/Al 2 O 3 /InGaAs nMOSFETs with steep SS property (~ 11 mV/dec).
- Published
- 2018
- Full Text
- View/download PDF
113. 28nm near/sub-threshold dual-port FIFO memory for shared queues in multi-sensor applications
- Author
-
Shang-Lin Wu, Sheng-Chi Lung, Yi-Chun Wu, Ching-Te Chuang, Po-Tsang Huang, Wei Hwang, and Wei-Chang Wang
- Subjects
Queueing theory ,FIFO (computing and electronics) ,business.industry ,Computer science ,020208 electrical & electronic engineering ,02 engineering and technology ,Tracing ,020202 computer hardware & architecture ,Reduction (complexity) ,CMOS ,0202 electrical engineering, electronic engineering, information engineering ,Static random-access memory ,business ,Queue ,Computer hardware ,Electronic circuit - Abstract
For an energy-limited multi-sensing platform, ultra-low-power queueing design is one of the critical challenge to store low-speed sensing data with various sampling frequencies. In this paper, a near/sub-threshold dual-port first-in-first-out (FIFO) memory is proposed for shared queues in a unified queuing architecture. This ultra-low-power FIFO memory is designed and implemented using bit-interleaved 12T near-/sub-threshold dual-port SRAM bit-cells, write/read-assist circuitries, and adaptive timing tracking circuits. The 12T bit-cell eliminates both read and write half-select disturbance. Additionally, an adaptive timing tracing circuitry and negative bit-line circuits are employed to against PVT variation and to enhance write ability, respectively. Furthermore, the self-timed pointers and short ripple bit-lines are designed to avoid global long metal lines with large loading. A 256×16 dual-port FIFO memory is implemented in UMC 28nm HKMG CMOS technology. This FIFO memory can be operated at 0.4V with 10MHz for read operations. Moreover, up to 60% power reduction can be achieved based on the proposed design techniques.
- Published
- 2018
- Full Text
- View/download PDF
114. Carrier synchronisation for multiband RF interconnect (MRFI) to facilitate chip‐to‐chip wireline communication
- Author
-
Jieqiong Du, Yuan Du, S. J. Lee, Wei-Han Cho, Mau-Chung Chang, Y. Li, and Po-Tsang Huang
- Subjects
Analogue to digital converter ,Interconnection ,Engineering ,Finite-state machine ,business.industry ,Wireline ,020208 electrical & electronic engineering ,020206 networking & telecommunications ,02 engineering and technology ,Chip ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Electrical and Electronic Engineering ,Baseband processor ,business - Abstract
A hardware-efficient carrier synchronisation technique for multiband RF interconnect system is proposed. This method takes full advantage of the wireline channel's quasi-invariant nature for achieving both energy/cost-efficient system designs. For instance, now easily decouple quadrature signals' phase and magnitude errors by using 1-bit analogue-to-digital converter (ADC), which saves substantial power and area than that of conventional methods where the ADC with high-speed/resolution is necessary. Furthermore, the algorithm can be carried out by using a simple finite-state machine and no baseband processor is required. Simulation and measurement results show that quasi-ideal carrier synchronisation can be achieved with the proposed method.
- Published
- 2016
- Full Text
- View/download PDF
115. A 64-channel wireless neural sensing microsystem with TSV-embedded micro-probe array for neural signal acquisition
- Author
-
Ching-Te Chuang, Yu-Chieh Huang, Tzai-Wen Chiu, Kuan-Neng Chen, Yu-Chen Hu, Jeng Ren Duann, Yan-Huei You, Shang-Lin Wu, Wei Hwang, Po-Tsang Huang, Jin-Chern Chiou, and Yung-Kuei Wang
- Subjects
Engineering ,Signal processing ,business.industry ,Microsystem ,Amplifier ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Interposer ,Signal integrity ,Antenna (radio) ,business ,Chip ,Electronic circuit - Abstract
To enhance the signal integrity of high-density neural-sensing signals, this work presents an implantable high spatial resolution μ-probe array with through-silicon via (TSV) 2.5D integration technology that realizes a miniaturized implantable device on flexible printed circuit (FPC) interposer. The proposed microsystem was composed of two 32-channel neural sensing chips and one radio frequency chip for neural signal processing. The μ-probe array can achieve better signal-to-noise ratio with neural-signal acquisition and processing circuit composed of a pseudo-resistor-based analog front-end amplifier. Moreover, a receiving antenna is also implemented on the backside of FPC for wireless data and power transmission. The feasibility of the proposed μ-probe array, Tx and Rx antenna, 32-channel neural sensing circuits in the 64-channel wireless microsystem have been successfully demonstrated for future integration and animal experiments.
- Published
- 2017
- Full Text
- View/download PDF
116. An implantable 128-channel wireless neural-sensing microsystem using TSV-embedded dissolvable μ-needle array and flexible interposer
- Author
-
Jin-Chern Chiou, Po-Tsang Huang, Ming-Wei Lu, Yu-Chen Hu, Nien-Shang Chang, Chi-Shi Chen, Ting-Wei Sheng, Jeng Ren Duann, Kuan-Neng Chen, Yu-Chieh Huang, Wei Hwang, Chun-Pin Lin, Ching-Te Chuang, Fung-Kai Chang, Shang-Lin Wu, Tzai-Wen Chiu, and Hung-Lieh Chen
- Subjects
Engineering ,Wi-Fi array ,business.industry ,0206 medical engineering ,Electrical engineering ,02 engineering and technology ,020601 biomedical engineering ,Key distribution in wireless sensor networks ,Transmission (telecommunications) ,CMOS ,Microsystem ,Hardware_INTEGRATEDCIRCUITS ,Interposer ,Wireless ,business ,Wireless sensor network - Abstract
For implanted neural-sensing devices, one of the remaining challenges is to transmit stable power/data (P/D) transmission for high spatiotemporal resolution neural data. This paper presents a miniaturized implantable 128-channel wireless neural-sensing microsystem using TSV-embedded dissolvable μ-needle array, a flexible interposer and 4 dies by 2.5D/3D TSV heterogeneous SiP technology. The 4 dies are 2 neural-signal acquisition ICs implemented by 90nm CMOS, 1 neural-signal processor by 40nm CMOS and 1 wireless P/D transmission circuitry by 0.18μm CMOS. Thus, the proposed wireless microsystem realizes 128-channel neural-signal sensing within the area of 5mm × 5mm, neural feature extraction and wireless P/D transmission using an on-interposer inductor. The overall average power of the circuits in this microsystem is only 9.85mW.
- Published
- 2017
- Full Text
- View/download PDF
117. Exploration and evaluation of low-dropout linear voltage regulator with FinFET, TFET and hybrid TFET-FinFET implementations
- Author
-
Ching-Te Chuang, Pin Su, Chia-Ning Chang, Po-Tsang Huang, and Yin-Nien Chen
- Subjects
010302 applied physics ,Physics ,Power supply rejection ratio ,Frequency response ,business.industry ,020208 electrical & electronic engineering ,Dropout (communications) ,Electrical engineering ,02 engineering and technology ,Voltage regulator ,01 natural sciences ,Load regulation ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Power semiconductor device ,Operating voltage ,business ,Implementation - Abstract
This paper investigates and evaluates analog and digital low-dropout linear voltage regulators (LDO) with FinFET, TFET and hybrid TFET-FinFET implementations. We utilize Sentaurus physics-based atomistic 3D TCAD mixed-mode simulations for device characteristics and HSPICE with look-up tables based on Verilog-A models calibrated with TCAD simulation results. Frequency response, load regulation and power supply rejection ratio (PSRR) are evaluated for analog LDOs under low, medium and high bias-current conditions. The results indicate that for analog implementations, TFET-LDO and hybrid-LDO provide better loop-gain and PSRR than FinFET-LDO under low and medium operating currents, whereas at higher operating current, FinFET implementation would outperform. As operating voltage is reduced, the performances of analog implementations degrade, and digital implementations become favorable for VIN below around 0.55V. We further show that for digital LDO, all FinFET implementation provides superior performance over all TFET and hybrid TFET-FinFET implementations.
- Published
- 2017
- Full Text
- View/download PDF
118. Ultrahigh-Density 256-Channel Neural Sensing Microsystem Using TSV-Embedded Neural Probes
- Author
-
Ching-Te Chuang, Hsiao-Chun Chang, Jeng Ren Duann, Shang-Lin Wu, Tzai-Wen Chiu, Yu-Chieh Huang, Po-Tsang Huang, Kuan-Neng Chen, Yan-Yu Huang, Yan-Huei You, Yen-Han Lin, Yu-Chen Hu, Jin-Chern Chiou, Jr-Ming Chen, and Wei Hwang
- Subjects
Materials science ,Biomedical Engineering ,02 engineering and technology ,Local field potential ,01 natural sciences ,Microsystem ,Evoked Potentials, Somatosensory ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Animals ,Electrical and Electronic Engineering ,010302 applied physics ,Amplifiers, Electronic ,business.industry ,Amplifier ,020208 electrical & electronic engineering ,Brain ,Converters ,Power (physics) ,Electrodes, Implanted ,Rats ,Parallel processing (DSP implementation) ,Somatosensory evoked potential ,Optoelectronics ,Microtechnology ,business ,Communication channel - Abstract
Highly integrated neural sensing microsystems are crucial to capture accurate signals for brain function investigations. In this paper, a 256-channel neural sensing microsystem with a sensing area of 5 × 5 mm 2 is presented based on 2.5-D through-silicon-via (TSV) integration. This microsystem composes of dissolvable μ-needles, TSV-embedded μ-probes, 256-channel neural amplifiers, 11-bit area-power-efficient successive approximation register analog-to-digital converters, and serializers. This microsystem can detect 256 electrocorticography and local field potential signals within a small area of 5 mm × 5 mm. The neural amplifier realizes 57.8 dB gain with only 9.8 μW per channel. The overall power of this microsystem is only 3.79 mW for 256-channel neural sensing. A smaller microsystem with dimension of 6 mm × 4 mm has been also implanted into rat brain for somatosensory evoked potentials (SSEPs) recording by using contralateral and ipsilateral electrical stimuli with intensity from 0.2 to 1.0 mA, and successfully observed different SSEPs from left somatosensory cortex of a rat.
- Published
- 2017
119. Blood Beta-Amyloid and Tau in Down Syndrome: A Comparison with Alzheimer’s Disease
- Author
-
Shieh Yueh Yang, Ni-Chung Lee, Yin-Hsiu Chien, Yen Nan Chiu, Jen Jie Chieh, Ming-Jang Chiu, Lih Maan Chang, Po Tsang Huang, Ai Chiu Huang, and Wuh-Liang Hwu
- Subjects
0301 basic medicine ,Aging ,medicine.medical_specialty ,Down syndrome ,Pathology ,Amyloid ,Cognitive Neuroscience ,Population ,Tau protein ,β-amyloids ,tau protein ,Pathogenesis ,03 medical and health sciences ,0302 clinical medicine ,Internal medicine ,mental disorders ,medicine ,Dementia ,education ,Original Research ,education.field_of_study ,biology ,Neurodegeneration ,Neurotoxicity ,behavioral and psychological symptoms of dementia ,medicine.disease ,nervous system diseases ,030104 developmental biology ,Endocrinology ,biology.protein ,Psychology ,Alzheimer’s disease ,030217 neurology & neurosurgery ,Neuroscience ,dementia - Abstract
Background: Changes in beta-amyloids and tau proteins have been noted in patients with Alzheimer’s disease (AD) and patients with both Down syndrome (DS) and AD. However, reports of changes in the early stage of regression, such as behavioral and psychological symptoms of dementia (BPSD), in DS are sparse. Methods: Seventy-eight controls, 62 patients with AD, 35 with DS, and 16 with DS with degeneration (DS_D), including 9 with BPSD and 7 with dementia, were enrolled. The levels of beta-amyloids 40 and 42 (Abeta-40, Abeta-42) and tau protein in the blood were analyzed using immunomagnetic reduction. The Adaptive Behavior Dementia Questionnaire (ABDQ) was used to evaluate the clinical status of the degeneration. Results: The Abeta-40 and tau levels were higher and the Abeta-42 level and Abeta-42/Abeta-40 ratio were lower in DS than in the controls (all p < 0.001). Decreased Abeta-40 and increased Abeta-42 levels and Abeta-42/40 ratios were observed in DS_D compared with DS without degeneration (all p < 0.001). The ABDQ score was negatively correlated with the Abeta-40 level (rho= -0.556) and the tau protein level (rho= -0.410) and positively associated with the Abeta-42 level (rho= 0.621) and the Abeta-42/40 ratio (rho= 0.544) (all p < 0.05). Conclusions: The Abeta-40 and Abeta-42 levels and the Abeta-42/Abeta-40 ratio are considered possible biomarkers for the early detection of degeneration in DS. The elevated Abeta-40 and tau levels in DS may indicate early neurodegeneration. The increased Abeta-42 in DS_D may reflect the neurotoxicity of Abeta-42. The paradox of the tau decreases in DS_D could be explained by a burnout phenomenon during long-term neurodegeneration. The different patterns of the plasma beta amyloids and tau protein may imply a different pathogenesis between Down syndrome with degeneration and Alzheimer’s disease in the general population, in spite of their common key pathological features.
- Published
- 2017
- Full Text
- View/download PDF
120. Importance of the C-terminal histidine residues of Helicobacter pylori GroES for Toll-like receptor 4 binding and interleukin-8 cytokine production
- Author
-
Yeng-Tseng Wang, Shiou-Ru Tzeng, Po-Tsang Huang, Chun-Hua Hsu, Ya-Hui Chang, Haur Lee, Feng-Tse Hsieh, Yu-Lin Su, Kuo-Long Lou, Bo-Shih Huang, and Lu-Ping Chow
- Subjects
0301 basic medicine ,Plasma protein binding ,Biology ,medicine.disease_cause ,Article ,03 medical and health sciences ,Cell Line, Tumor ,medicine ,Chaperonin 10 ,Humans ,Protein Interaction Domains and Motifs ,Amino Acid Sequence ,Receptor ,Escherichia coli ,Peptide sequence ,Histidine ,Conserved Sequence ,Toll-like receptor ,Multidisciplinary ,Helicobacter pylori ,Interleukin-8 ,GroES ,biology.organism_classification ,Toll-Like Receptor 4 ,030104 developmental biology ,Biochemistry ,Host-Pathogen Interactions ,Protein Binding - Abstract
Helicobacter pylori infection is associated with the development of gastric and duodenal ulcers as well as gastric cancer. GroES of H. pylori (HpGroES) was previously identified as a gastric cancer-associated virulence factor. Our group showed that HpGroES induces interleukin-8 (IL-8) cytokine release via a Toll-like receptor 4 (TLR4)-dependent mechanism and domain B of the protein is crucial for interactions with TLR4. In the present study, we investigated the importance of the histidine residues in domain B. To this end, a series of point mutants were expressed in Escherichia coli, and the corresponding proteins purified. Interestingly, H96, H104 and H115 were not essential, whereas H100, H102, H108, H113 and H118 were crucial for IL-8 production and TLR4 interactions in KATO-III cells. These residues were involved in nickel binding. Four of five residues, H102, H108, H113 and H118 induced certain conformation changes in extended domain B structure, which is essential for interactions with TLR4 and consequent IL-8 production. We conclude that interactions of nickel ions with histidine residues in domain B help to maintain the conformation of the C-terminal region to conserve the integrity of the HpGroES structure and modulate IL-8 release.
- Published
- 2016
121. Area-power-efficient 11-bit hybrid dual-Vdd ADC with self-calibration for neural sensing application
- Author
-
Po-Tsang Huang, Shang-Lin Wu, Ching-Te Chuang, Jr-Ming Chen, and Wei Hwang
- Subjects
Power management ,Engineering ,business.industry ,020208 electrical & electronic engineering ,8-bit ,Successive approximation ADC ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Capacitance ,Process variation ,Effective number of bits ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,business ,Low voltage - Abstract
Miniaturized neural sensing microsystem has become increasingly important for brain function investigation. This paper presented a low voltage area-power-efficient 11-bit hybrid analog-to-digital convertor (ADC) with self-calibration for neural sensing application. To reduce the total amount of capacitance, the proposed hybrid ADC is composed of 3 bit coarse-tune and 8 bit fine-tune with delay-lined based ADC and successive approximation register (SAR) ADC. The three most significant bits are detected by a modified vernier structure delay-line-based ADC. Self-timed power management including dual voltage supply, power-gating and multi-threshold CMOS are employed and the capacitance mismatch due to process variation is compensated using a self-calibration scheme. The proposed 11 bit ADC is implemented in TSMC 90nm general propose (GP) CMOS technology. Post-sim results indicate that ENOB of 9.71-bits at 32KS/s sampling rate can be achieved with only 982nW power consumption and 0.026-mm2. The FOM of the proposed hybrid ADC is 36.75fJ/conversion-step.
- Published
- 2016
- Full Text
- View/download PDF
122. Integration of neural sensing microsystem with TSV-embedded dissolvable µ-needles array, biocompatible flexible interposer, and neural recording circuits
- Author
-
Po-Tsang Huang, Yu-Chieh Huang, Yan-Yu Huang, Ching-Te Chuang, Yen-Han Lin, Jin-Chern Chiou, Yu-Chen Hu, Wei Hwang, Shang-Lin Wu, Yan-Huei You, Tzai-Wen Chiu, Kuan-Neng Chen, Jr-Ming Chen, Jeng Ren Duann, and Hsiao-Chun Chang
- Subjects
010302 applied physics ,Wire bonding ,Engineering ,business.industry ,Interface (computing) ,Biocompatible material ,01 natural sciences ,Signal ,03 medical and health sciences ,0302 clinical medicine ,Signal quality ,Microsystem ,0103 physical sciences ,Interposer ,Electronic engineering ,business ,030217 neurology & neurosurgery ,Electronic circuit - Abstract
Local brain connectivity is expected to lead to new models for neurological diseases, which may in turn result in advanced understanding and better treatment. This paper presents a neural sensing microsystem integrated with TSV-embedded dissolvable µ-needles array, ENIG bonding technology, biocompatible Au-TSV flexible interposer and neural recording circuits, for neural sensing implantation. An ultra-thin film bonding approach is proposed for integration of interposer assembly. Removing bonding wire by proposed bonding technology, the dimension of neural sensing system can be minimized to reduce surgical area and promote implant success rate. The signal quality of neural recording can be significantly improved by eliminating complex signal paths with 2.5D TSV integration from neural sensing interface to neural recording circuits.
- Published
- 2016
- Full Text
- View/download PDF
123. A 16Gb/s 14.7mW tri-band cognitive serial link transmitter with forwarded clock to enable PAM-16 / 256-QAM and channel response detection in 28 nm CMOS
- Author
-
Yilei Li, Yuan Du, Jieqiong Du, Mau-Chung Frank Chang, Sheau Jiung Lee, Yanghyo Kim, Zuow-Zun Chen, Wei-Han Cho, Po-Tsang Huang, and Chien-Heng Wong
- Subjects
Engineering ,business.industry ,Serial communication ,020208 electrical & electronic engineering ,Bandwidth (signal processing) ,Transmitter ,Electrical engineering ,020206 networking & telecommunications ,02 engineering and technology ,Channel capacity ,CMOS ,Frequency domain ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,business ,Quadrature amplitude modulation ,Phase-shift keying - Abstract
A cognitive tri-band transmitter with forwarded clock using multi-band signaling and high-level digital signal modulations is presented for serial link application. The transmitter features learning an arbitrary channel response by sending a sweep of continuous wave, detecting power level, and accordingly adapts modulation scheme, data bandwidth and carrier frequency. The modulation scheme ranges from NRZ/QPSK to PAM-16/256-QAM. The highly re-configurable transmitter is capable of dealing with low-cost serial link cables/connectors or multi-drop buses with deep and narrow notches in frequency domain (e.g. 40dB loss at notches). The adaptive multi-band scheme mitigates equalization requirement and enhances the energy efficiency by avoiding frequency notches and utilizing the maximum available signal-to-noise ratio and channel bandwidth. The implemented transmitter consumes 14.7mW power and occupies 0.016mm2 in 28nm CMOS. It achieves a maximum data rate of 16Gb/s per differential pair and the most energy-efficient FoM (defined in Fig. 8) of 20.4 µW/Gb/s/dB considering channel condition.
- Published
- 2016
- Full Text
- View/download PDF
124. The SMEM Seeding Acceleration for DNA Sequence Alignment
- Author
-
Yu-Ting Chen, Cody Hao Yu, Po-Tsang Huang, Chun-Liang Kuo, Mau-Chung Frank Chang, and Jason Cong
- Subjects
0301 basic medicine ,business.industry ,Computer science ,Process (computing) ,Parallel computing ,Bottleneck ,03 medical and health sciences ,030104 developmental biology ,Software ,Embedded system ,Scalability ,business ,Field-programmable gate array ,Throughput (business) ,Dram ,Reference genome - Abstract
The advance of next-generation sequencing technology has dramatically reduced the cost of genome sequencing. However, processing and analyzing huge amounts of data collected from sequencers introduces significant computation challenges, these have become the bottleneck in many research and clinical applications. For such applications, read alignment is usually one of the most compute-intensive steps. Billions of reads generated from the sequencer need to be aligned to the long reference genome. Recent state-of-the-art software read aligners follow the seed-andextend model. In this paper we focus on accelerating the first seeding stage, which generates the seeds using the supermaximal exact match (SMEM) seeding algorithm. The two main challenges for accelerating this process are 1) how to process a huge number of short reads with high throughput, and 2) how to hide the frequent and long random memory access when we try to fetch the value of the reference genome. In this paper, we propose a scalable array-based architecture, which is composed by many processing engines (PEs) to process large amounts of data simultaneously for the demand of high throughput. Furthermore, we provide a tight software/hardware integration that realizes the proposed architecture on the Intel-Altera HARP system. With a 16-PE accelerator engine, we accelerate the SMEM algorithm by 4x, and the overall SMEM seeding stage by 26% when compared with 16-thread CPU execution. We further analyze the performance bottleneck of the design due to extensive DRAM accesses and discuss the possible improvements that are worthwhile to be explored in the future.
- Published
- 2016
- Full Text
- View/download PDF
125. An ultra-high-density 256-channel/25mm2 neural sensing microsystem using TSV-embedded neural probes
- Author
-
Ming Chen, Yan-Huei You, Po-Tsang Huang, Tzai-Wen Chiu, Yu-Chen Hu, Yen-Han Lin, Ching-Te Chuang, Shang-Lin Wu, Jeng Ren Duann, Yu-Chieh Huang, Kuan-Neng Chen, Hsiao-Chun Chang, Yan-Yu Huang, Jin-Chern Chiou, and Wei Hwang
- Subjects
010302 applied physics ,Ultra high density ,Engineering ,business.industry ,Amplifier ,020208 electrical & electronic engineering ,Successive approximation ADC ,02 engineering and technology ,01 natural sciences ,Power (physics) ,Effective number of bits ,Microsystem ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,business ,Brain function ,Communication channel - Abstract
Highly integrated neural sensing microsystems are crucial to capture accurate signals for brain function investigations. In this paper, a 256-channel/25 mm2 neural sensing microsystem is presented based on through-silicon-via (TSV) 2.5D integration. This microsystem composes of dissolvable μ-needles, TSV-embedded μ-probes, 256-channel neural amplifiers, 11-bit area-power-efficient SAR ADCs and serializers. Based on the dissolvable μ-needles and TSV 2.5D integration, this microsystem can detect 256 ECoG/LFP signals within the small area of 5mm × 5mm. Additionally, the neural amplifier realizes 57.8dB gain with only 9.8μW for each channel, and the 9.7-bit ENOB of the SAR ADC at 32kS/s can be achieved with 0.42μW and 0.036 mm2. The overall power of this microsystem is only 3.79mW for 256-channel neural sensing.
- Published
- 2016
- Full Text
- View/download PDF
126. 28nm ultra-low power near-/sub-threshold first-in-first-out (FIFO) memory for multi-bio-signal sensing platforms
- Author
-
Po-Tsang Huang, Ming-Hsien Tu, Ching-Te Chuang, Shang-Lin Wu, Wei Hwang, Wei-Shen Hsu, and Ming-Yu Yin
- Subjects
Engineering ,Hardware_MEMORYSTRUCTURES ,Sense amplifier ,business.industry ,FIFO (computing and electronics) ,020208 electrical & electronic engineering ,Transistor ,Semiconductor memory ,02 engineering and technology ,020202 computer hardware & architecture ,law.invention ,FIFO and LIFO accounting ,CMOS ,law ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Static random-access memory ,business ,Power control - Abstract
In this paper, an ultra-low-power near-/sub-threshold first-in-first-out (FIFO) memory is proposed for energy-constrained bio-signal sensing applications. This FIFO memory is designed and implemented using folded bit-interleaved 10T near-/sub-threshold SRAM bit-cells, self-timed pointers and bank-level power control circuits. The 10T SRAM cell is proposed for the bit-interleaving structure with 2.4X write static noise margin (SNM) improvement. The folded bit-interleaving structure reduces the bit-line capacitance and avoids long routing wires for the circular self-timed pointers. Additionally, the event-driven self-timed pointers are designed to reduce the power consumption of clock buffers. For further decreasing the overall power dissipation, bank-level column-based power control circuitry is proposed to switch the voltages for different banks to achieve 60.5% power saving. A 512×16 FIFO memory is implemented in UMC 28nm HKMG CMOS technology. Compared with the prior arts, 47X power reduction and 2.7X area efficiency can be achieved by the proposed design techniques.
- Published
- 2016
- Full Text
- View/download PDF
127. Non-basic amino acids in the ROMK1 channels via an appropriate distance modulate PIP2 regulated pHi-gating
- Author
-
Chung-Yi Chen, Mei-Ying Lin, Horng-Huei Liou, Po-Tsang Huang, Chien-Hsing Lee, and Kuo-Long Lou
- Subjects
0301 basic medicine ,Phosphatidylinositol 4,5-Diphosphate ,Intracellular pH ,Molecular Sequence Data ,Static Electricity ,Biophysics ,Gating ,Molecular Dynamics Simulation ,Biochemistry ,Kir channel ,03 medical and health sciences ,chemistry.chemical_compound ,Mice ,Xenopus laevis ,0302 clinical medicine ,Animals ,Humans ,Phosphatidylinositol ,Amino Acid Sequence ,Potassium Channels, Inwardly Rectifying ,Molecular Biology ,Basic amino acids ,Sequence Homology, Amino Acid ,Cell Biology ,Hydrogen-Ion Concentration ,Protein Structure, Tertiary ,Electrophysiology ,Molecular Docking Simulation ,030104 developmental biology ,chemistry ,Mutation ,Molecular mechanism ,Oocytes ,lipids (amino acids, peptides, and proteins) ,Female ,Chickens ,030217 neurology & neurosurgery ,Algorithms ,Communication channel - Abstract
The ROMK1 (Kir1.1) channel activity is predominantly regulated by intracellular pH (pHi) and phosphatidylinositol 4,5-bisphosphate (PIP2). Although several residues were reported to be involved in the regulation of pHi associated with PIP2 interaction, the detailed molecular mechanism remains unclear. We perform experiments in ROMK1 pHi-gating with electrophysiology combined with mutational and structural analysis. In the present study, non basic residues of C-terminal region (S219, N215, I192, L216 and L220) in ROMK1 channels have been found to mediate channel-PIP2 interaction and pHi gating. Further, our structural results show these residues with an appropriate distance to interact with membrane PIP2. Meanwhile, a cluster of basic residues (R188, R217 and K218), which was previously discovered regarding the interaction with PIP2, exists in this appropriate distance to discriminate the regulation of channel-PIP2 interaction and pHi-gating. This appropriate distance can be observed with high conservation in the Kir channel family. Our results provide insight that an appropriate distance cooperates with the electrostatics interaction of channel-PIP2 to regulate pHi-gating.
- Published
- 2016
128. 10.2 A 38mW 40Gb/s 4-lane tri-band PAM-4 / 16-QAM transceiver in 28nm CMOS for high-speed Memory interface
- Author
-
Jieqiong Du, Yuan Du, Fu-Lung Hsueh, Huan-Neng Chen, Po-Tsang Huang, Wei-Han Cho, Chien-Heng Wong, Mau-Chung Frank Chang, Yilei Li, Chewn-Pu Jou, and Sheau Jiung Lee
- Subjects
Engineering ,business.industry ,020208 electrical & electronic engineering ,Bandwidth (signal processing) ,020206 networking & telecommunications ,02 engineering and technology ,CMOS ,Scalability ,0202 electrical engineering, electronic engineering, information engineering ,Baseband ,Electronic engineering ,Bit error rate ,Central processing unit ,Transceiver ,business ,Quadrature amplitude modulation - Abstract
The continuous scaling of CMOS technology increases processor performance and memory capacity, requiring the CPU/Memory interface to have ever-higher bandwidth and energy efficiency over the past few years. Among those cutting-edge interface technologies, multi-band (multi-tone) signaling has shown great potential because of its high data-rate capability along with its low energy consumption [3]–[5]. With spectrally divided signaling, the multi-band transceiver can be designed to avoid spectral notches with extended communication bandwidth of multi-drop buses [4]. Also, its unique self-equalized double-sideband signaling renders the multi-band transceiver immune to inter-symbol interference caused by channel attenuation without additional equalization circuitry [5]. To further improve the capability and validate the scalability of multi-band signaling, we have realized a tri-band transceiver with four parallel lanes and achieved a total data rate of 40Gb/s, with total power consumption of 38mW in 28nm CMOS technology.
- Published
- 2016
- Full Text
- View/download PDF
129. A TSV-Based Bio-Signal Package With $\mu$-Probe Array
- Author
-
Kuan-Neng Chen, Chi-Tsung Chiu, Ching-Te Chuang, Cheng-Hao Chiang, Ho-Ming Tong, Jin-Chern Chiou, Lei-Chun Chou, Chung-Hsi Wu, Po-Tsang Huang, Shih-Wei Lee, Kuo-Hua Chen, Shang-Lin Wu, Wei Hwang, and Chih-Wei Chang
- Subjects
Interconnection ,Engineering ,Wire bonding ,business.industry ,Sensing applications ,Electrical engineering ,Chip ,Signal ,Electronic, Optical and Magnetic Materials ,Probe array ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Electrical and Electronic Engineering ,business ,Lead (electronics) - Abstract
Bio-signal probes providing stable observation with high quality signals are crucial for understanding how the brain works and how the neural signal transmits. Due to the weak and noisy characteristics of bio-signals, the connected interconnect length between the sensor and CMOS has significant impact on the bio-signal quality. In addition, long interconnections with wire bonding technique introduce noises and lead to bulky packaged systems. This letter presents an implantable through-silicon via (TSV) technology to connect sensors and CMOS devices located on the opposite sides of the chip for brain neural sensing applications. With the elimination of traditional wire bonding and packaging technologies, the quality of bio-signal can be greatly improved.
- Published
- 2014
- Full Text
- View/download PDF
130. A Low Power Differential Cascode Voltage Switch with Pass Gate Pulsed Latch for Viterbi Decoder
- Author
-
Chen-Yi Lee, Xin Ru Lee, Wei Hwang, Hsie-Chia Chang, and Po-Tsang Huang
- Subjects
Power analysis ,Viterbi decoder ,law ,Computer science ,Electronic engineering ,Pass gate ,Cascode ,Electrical and Electronic Engineering ,Flip-flop ,law.invention ,Voltage - Published
- 2010
- Full Text
- View/download PDF
131. Functional and structural characterization of PKA-mediated pHi gating of ROMK1 channels
- Author
-
Po-Tsang Huang, Kuo-Long Lou, Chien-Hsing Lee, and Horng-Huei Liou
- Subjects
Models, Molecular ,Phosphatidylinositol 4,5-Diphosphate ,Intracellular pH ,Molecular Sequence Data ,Intracellular Space ,Xenopus ,Gating ,Biology ,Xenopus laevis ,chemistry.chemical_compound ,Renal tubular dysfunction ,Sequence Analysis, Protein ,Materials Chemistry ,Animals ,Computer Simulation ,Amino Acid Sequence ,Patch clamp ,Phosphatidylinositol ,Phosphorylation ,Potassium Channels, Inwardly Rectifying ,Physical and Theoretical Chemistry ,Protein kinase A ,Spectroscopy ,Hydrogen-Ion Concentration ,biology.organism_classification ,Cyclic AMP-Dependent Protein Kinases ,Computer Graphics and Computer-Aided Design ,Molecular biology ,chemistry ,Mutation ,Biophysics ,Female ,Mutant Proteins ,Ion Channel Gating - Abstract
Hyperprostaglandin E syndrome/antenatal Bartter syndrome (HPS/aBS) is a severe salt-losing renal tubular disorder and results from the mutation of renal outer medullary K(+) (ROMK1) channels. The aberrant ROMK1 function induces alterations in intracellular pH (pH(i)) gating under physiological conditions. We investigate the role of protein kinase A (PKA) in the pH(i) gating of ROMK1 channels. Using giant patch clamp with Xenopus oocytes expressing wild-type and mutant ROMK1 channels, PKA-mediated phosphorylation decreased the sensitivity of ROMK1 channels to pH(i). A homology model of ROMK1 reveals that a PKA phosphorylation site (S219) is spatially juxtaposed to the phosphatidylinositol 4,5-bisphosphate (PIP(2)) binding residues (R188, R217, and K218). Molecular dynamics simulations suggest a stable transition state, in which the shortening of distance between S219 and R217 and the movement of K218 towards the membrane after the PKA-phosphorylation can be observed. Such conformational change may bring the PIP(2) binding residues (K218) more accessible to the membrane-bound PIP(2). In addition, PIP(2) dose-dependently reactivates the acidification-induced rundown channels only when ROMK1 channels have been phosphorylated by PKA. This implies a sequence regulatory episode reflecting the role of PIP(2) in the pH(i) gating of ROMK1 channels by PKA-mediated phosphorylation. Our results provide new insights into the molecular mechanisms underlying the ROMK1 channel regulation associated with HPS/aBS.
- Published
- 2008
- Full Text
- View/download PDF
132. Putative Secondary Active Site of Bovine Pancreatic Deoxyribonuclease I
- Author
-
Po-Tsang Huang, Wei-Jung Chen, Ta-Hsiu Liao, and Yu-Che Cheng
- Subjects
Mutant ,Crystallography, X-Ray ,Biochemistry ,Catalysis ,Structural Biology ,Animals ,Deoxyribonuclease I ,Histidine ,Binding site ,Site-directed mutagenesis ,Pancreas ,Alanine ,chemistry.chemical_classification ,Binding Sites ,biology ,Mutagenesis ,Active site ,Deoxyribonuclease ,DNA ,General Medicine ,Molecular biology ,Enzyme ,Amino Acid Substitution ,chemistry ,Mutagenesis, Site-Directed ,biology.protein ,Cattle - Abstract
Previous structural studies based on the co-crystal of a complex between bovine pancreatic deoxyribonuclease I (bpDNase I) and a double-stranded DNA octamer d(GCGATCGC)(2) have suggested the presence of a putative secondary active site near Ser43. In our present study, several crucial amino acid residues postulated in this putative secondary active site, including Thr14, Ser43, and His44 were selected for site-directed mutagenesis. A series of single, double and triple mutants were thus constructed and tested for their DNase I activity by hyperchromicity assay. Substitution of each or both of Thr14 and Ser43 by alanine results in mutant enzymes retaining 30-70% of WT bpDNase I activity. However, when His44 was replaced by aspartic acid, either in the single, double, or triple mutant, the enzyme activities were drastically decreased to 0.5-5% that of WT bpDNase I. Interestingly, when cysteine was substituted for Thr14 or Ser43, the specific DNase activities of the mutant enzymes were substantially increased by 1.5-100-fold, comparing to their alanine substitution mutant counterparts. Two other more sensitive DNase activity assay method, plasmid scission and zymogram analyses further confirm these observations. These results suggested that His44 may play a critical role in substrate DNA binding in this putative secondary active site, and introduction of sulfhydryl groups at Thr14 and Ser43 may facilitate Mn(2+)-coordination and further contribute to the catalytic activity of bpDNase I.
- Published
- 2008
- Full Text
- View/download PDF
133. Involvement of the N- and C- terminal fragments of bovine pancreatic deoxyribonuclease in active protein folding
- Author
-
Wei-jung Chen, Po-Tsang Huang, Julian Liu, and Ta-Hsiu Liao
- Subjects
Protein biosynthesis -- Analysis ,Transition temperature -- Analysis ,Biochemistry -- Analysis ,Biological sciences ,Chemistry - Abstract
The involvement of this beta-sheet structure in the active protein folding of bovine pancreatic DNase was discussed via a series of deletion and substitution variants. Thermal denaturation profiles revealed that the transition temperature for brDNase(delta251-260) was increased from 55 to 63 degree Celsius after incubation with peptide C10.
- Published
- 2004
134. Probing the catalytic mechanism of bovine pancreatic deoxyribonuclease I by chemical rescue
- Author
-
Yu-Shen Lai, Po-Tsang Huang, Wei-Jung Chen, Ta-Hsiu Liao, Chai-Ching Lin, and Pei-Jun Lai
- Subjects
Models, Molecular ,Mutant ,Biophysics ,Protonation ,Gene mutation ,Biochemistry ,Catalysis ,chemistry.chemical_compound ,Animals ,Deoxyribonuclease I ,Imidazole ,Computer Simulation ,Pancreas ,Molecular Biology ,Histidine ,Binding Sites ,biology ,Chemistry ,Active site ,Deoxyribonuclease ,DNA ,Cell Biology ,Orders of magnitude (mass) ,Enzyme Activation ,Models, Chemical ,Mutagenesis, Site-Directed ,biology.protein ,Cattle ,Protein Binding - Abstract
Previous structural and mutational studies of bovine pancreatic deoxyribonuclease I (bpDNase I) have demonstrated that the active site His134 and His252 played critical roles in catalysis. In our present study, mutations of these two His residues to Gln, Ala or Gly reduced the DNase activity by a factor of four to five orders of magnitude. When imidazole or primary amines were added exogenously to the Ala or Gly mutants, the residual DNase activities were substantially increased by 60-120-fold. The rescue with imidazole was pH- and concentration-dependent. The pH-activity profiles showed nearly bell-shaped curves, with the maximum activity enhancement for H134A at pH 6.0 and that for H252A at pH 7.5. These findings indicated that the protonated form of imidazole was responsible for the rescue in H134A, and the unprotonated form was for that in H252A, prompting us to assign unambiguously the roles for His134 as a general acid, and His252 as a general base, in bpDNase I catalysis.
- Published
- 2007
- Full Text
- View/download PDF
135. Identification of a novel competitive inhibitor of p38α MAPK by a human PBMC screen
- Author
-
Kuo-Long Lou, Chia-Chen Ko, Fong-Chi Cheng, Yu-Chih Liu, Po-Tsang Huang, and Lu-Ping Chow
- Subjects
Lipopolysaccharides ,Models, Molecular ,MAPK/ERK pathway ,medicine.medical_treatment ,Interleukin-1beta ,Biophysics ,Inflammation ,Biology ,Pharmacology ,Inhibitory postsynaptic potential ,Biochemistry ,Peripheral blood mononuclear cell ,Mitogen-Activated Protein Kinase 14 ,medicine ,Humans ,Computer Simulation ,Enzyme kinetics ,Protein Kinase Inhibitors ,Molecular Biology ,IC50 ,Cells, Cultured ,Dose-Response Relationship, Drug ,Tumor Necrosis Factor-alpha ,Matrix Metalloproteinase 17 ,Cell Biology ,Cytokine ,Models, Chemical ,Drug Design ,Leukocytes, Mononuclear ,Biological Assay ,Tumor necrosis factor alpha ,medicine.symptom - Abstract
The pro-inflammatory cytokines TNF-alpha and IL-1beta are two of the important mediators involved in the several chronic inflammatory diseases. We used the release of TNF-alpha and IL-1beta from lipopolysaccharide-stimulated human PBMC as inflammatory indexes to discover the potential anti-inflammatory candidates. Among near 500 chemical compounds, MT4 had the suppressive action on the release of TNF-alpha and IL-1beta in PBMC with IC50 values of 22 and 44 nM, respectively. After verified the MT4 inhibitory mechanism, the results revealed that p38alpha and p38beta MAPK activity was inhibited by MT4 with an IC50 value of 0.13 and 0.55 microM, respectively. Further characterization of enzyme kinetics showed the binding mode of MT4 was competitive with the ATP substrate-binding site of p38alpha MAPK.
- Published
- 2007
- Full Text
- View/download PDF
136. Energy-efficient gas recognition system with event-driven power control
- Author
-
Po-Tsang Huang, Wei Hwang, Chih-Chao Yang, Ching-Te Chuang, and Chun-Ying Huang
- Subjects
Engineering ,Electronic nose ,Event (computing) ,business.industry ,Recognition system ,Electronic engineering ,Energy consumption ,Static random-access memory ,business ,Power control ,Efficient energy use ,Resistive random-access memory - Abstract
For energy-limited applications of electronic nose, an application-specific architecture is essential to realize a low-energy gas recognition system. In this paper, a pseudo-zero-leakage gas recognition system is proposed to recognize different gases using event-driven power control. Additionally, this gas recognition system can recognize four different gases with concentration information by drift-insensitive on-line training, achieving 100% recognition accuracy for gas type and 89.4% accuracy for concentration analysis. For further reducing the overall energy consumption, both near-threshold SRAM and low-voltage embedded ReRAM are integrated into the proposed system, respectively. Based on TSMC 65nm LP CMOS process, the total energy of the gas recognition systems with SRAM and ReRAM are only 8.62μJ and 2.04μJ in a sensing period, respectively. Hence, an energy-efficient gas recognition system can be realized by a pseudo-zero-leakage event-driven structure with ReRAM.
- Published
- 2015
- Full Text
- View/download PDF
137. Low power algorithm-architecture co-design of fast Independent Component Analysis (FICA) for multi-gas sensor applications
- Author
-
Chieh-Chao Yang, Ching-Te Chuang, Chun-Ying Huang, Wei Hwang, and Po-Tsang Huang
- Subjects
Reduction (complexity) ,Control theory ,Computer science ,business.industry ,Computation ,Electronic engineering ,Symmetric matrix ,Algorithm design ,business ,Independent component analysis ,Stability (probability) ,Computer hardware ,Power (physics) - Abstract
For miniaturized multi-gas sensors, the detected multi-gas signals would be self-interfered by responses to multiple gases. In this paper, a fast Independent Component Analysis (FICA) is proposed to restore the original source signals from the mixed signals received by different gas sensors. This FICA is designed and implemented by low power algorithm-architecture co-design considering the tradeoffs among power, delay and accuracy of extracted signals for multi-gas sensor applications. To further reduce the power consumption, a data-length controller is designed to adjust the calculated data-length. Additionally, a stability check unit is utilized to terminate the ICA execution for reduction of the computation time and total energy. Compared with the conventional ICA design, the proposed low-power FICA realizes energy reduction by 75% for multi-gas sensor applications.
- Published
- 2015
- Full Text
- View/download PDF
138. All digitally controlled linear voltage regulator with PMOS strength self-calibration for ripple reduction
- Author
-
Ching-Te Chuang, Yuan-Hua Chu, Chung-Shiang Wu, Yi-Ping Kuo, Wei Hwang, Yu-Jie Liang, and Po-Tsang Huang
- Subjects
Engineering ,Low-dropout regulator ,Comparator ,business.industry ,Voltage divider ,Ripple ,Hardware_PERFORMANCEANDRELIABILITY ,Voltage regulator ,PMOS logic ,Control theory ,Dropout voltage ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,business ,Voltage - Abstract
In this paper, an all-digitally controlled linear voltage regulator is proposed for ultra-low-power event-driven sensing platforms using a PMOS strength self-calibration technique. The voltage regulator generates the output voltage from 0.43V to 0.55V in steps of 30mV with a supply voltage of 0.6V. Against PVT and loading current variations, the PMOS strength self-calibration circuitry utilizes a voltage-detected coarse tune and a timing-detected fine tune for output ripple reduction. The coarse tune is designed to suppress the output voltage within the fine-tune region via a comparator-based error detector. Accordingly, the fine tune block detects the PMOS turn-on ratio in a specific time window for further reducing the output ripple. This linear voltage regulator is implemented using TSMC 65nm LP CMOS process. The simulation results show the best improvement of ripple reduction by 81%. Moreover, ns-order voltage transition time and the best (lowest) FOM of 0.76 pA·s can be realized.
- Published
- 2015
- Full Text
- View/download PDF
139. The Phosphorylation State of GSK3β Serine 9 Correlated to the Development of Valproic Acid-Associated Fetal Cardiac Teratogenicity, Fetal VPA Syndrome, Rescued by Folic Acid Administration
- Author
-
Yi-Lwun Ho, Shian-Ling Chu, Wei-Hsuan Yu, Horng-Huei Liou, Po-Tsang Huang, and Huai-Jen Tsai
- Subjects
0301 basic medicine ,Heart Defects, Congenital ,Male ,medicine.medical_specialty ,Embryo, Nonmammalian ,Transgene ,Heart Ventricles ,Biology ,Toxicology ,Serine ,Animals, Genetically Modified ,03 medical and health sciences ,Glycogen Synthase Kinase 3 ,Folic Acid ,GSK-3 ,Internal medicine ,medicine ,Bradycardia ,Myocyte ,Animals ,Myocytes, Cardiac ,Phosphorylation ,Molecular Biology ,Zebrafish ,Cell Proliferation ,Valproic Acid ,Fetus ,Glycogen Synthase Kinase 3 beta ,Dose-Response Relationship, Drug ,Embryo ,Vitamins ,030104 developmental biology ,Endocrinology ,Biochemistry ,lipids (amino acids, peptides, and proteins) ,Anticonvulsants ,Female ,Cardiology and Cardiovascular Medicine ,medicine.drug - Abstract
The effects of the phosphorylation state of the glycogen synthase kinase 3β involved in the cardiac myocytes (jelly-like cells) epithelial–mesenchymal transition-associated migration during heart-valve formation were examined through the valproic acid-induced cardiac teratogenicity of transgenic line A34 of Tg in a the Brachydanio rerio embryo model. Valproic acid is an effective anti-epileptic drug; however, when taken by pregnant women to treat epilepsy, it can produce cardiac developmental defects in fetuses. In this study, the role of glycogen synthase kinase 3β in valproic acid-induced cardiac teratogenicity was investigated. Transgenic line A34 of zebrafish embryos was used at 3 days postfertilization. The results show that 78 % (18/23) of the embryos treated with 0.10 mM valproic acid (group A) had incomplete chamber formation with normal looping and 22 % (5/23) had abnormal looping. Bradycardia was also found in comparison with control embryos (P < 0.001). For the embryos treated with 0.25 mM valproic acid (group B), 92 % (22/24) demonstrated chamber formation failure and looping abnormality. Pericardial effusion, noncontracting ventricles, and enlarged, slowly beating atriums were observed at 6 days postfertilization. Valproic acid inhibited phosphorylation of serine 9 in glycogen synthase kinase 3β in a dose-dependent manner. According to immunochemical staining results, valproic acid was shown to inhibit the mass migration and proliferation of cardiomyocytes in the development of the heart-valve region through inhibition of the GSK3β Ser 9 phosphorylation. Folic acid rescued the GSK3β Ser 9 phosphorylation and reversed the valproic acid-induced cardiac morphological, functional, and biochemical defects.
- Published
- 2015
140. A double-sided, single-chip integration scheme using through-silicon-via for neural sensing applications
- Author
-
Ho Ming Tong, Shih Wei Lee, Chi Tsung Chiu, Ching-Te Chuang, Wei Hwang, Po-Tsang Huang, Kuo Hua Chen, Jin-Chern Chiou, Shang-Lin Wu, Kuan-Neng Chen, Lei Chun Chou, and Chih-Wei Chang
- Subjects
Microelectromechanical systems ,Engineering ,Through-silicon via ,business.industry ,Amplifier ,Biomedical Engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Chip ,Noise (electronics) ,Electrodes, Implanted ,CMOS ,Lab-On-A-Chip Devices ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,business ,Molecular Biology ,Electronic circuit ,Communication channel - Abstract
We present a new double-sided, single-chip monolithic integration scheme to integrate the CMOS circuits and MEMS structures by using through-silicon-via (TSV). Neural sensing applications were chosen as the implementation example. The proposed heterogeneous device integrates standard 0.18 μm CMOS technology, TSV and neural probe array into a compact single chip device. The neural probe array on the back-side of the chip is connected to the CMOS circuits on the front-side of the chip by using low-parasitic TSVs through the chip. Successful fabrication results and detailed characterization demonstrate the feasibility and performance of the neural probe array, TSV and readout circuitry. The fabricated device is 5 × 5 mm(2) in area, with 16 channels of 150 μm-in-length neural probe array on the back-side, 200 μm-deep TSV through the chip and CMOS circuits on the front-side. Each channel consists of a 5 × 6 probe array, 3 × 14 TSV array and a differential-difference amplifier (DDA) based analog front-end circuitry with 1.8 V supply, 21.88 μW power consumption, 108 dB CMRR and 2.56 μVrms input referred noise. In-vivo long term implantation demonstrated the feasibility of presented integration scheme after 7 and 58 days of implantation. We expect the conceptual realization can be extended for higher density recording array by using the proposed method.
- Published
- 2015
- Full Text
- View/download PDF
141. 2.5D heterogeneously integrated microsystem for high-density neural sensing applications
- Author
-
Wen-Wei Shen, Lei-Chun Chou, Jin-Chern Chiou, Shang-Lin Wu, Tang-Hsuan Wang, Po-Tsang Huang, Yu-Chieh Huang, Yu-Rou Lin, Teng-Chieh Huang, Chuan-An Cheng, Ching-Te Chuang, Ho-Ming Tong, Wei Hwang, and Kuan-Neng Chen
- Subjects
Engineering ,business.industry ,Biomedical Engineering ,Electrical engineering ,Chip ,Noise (electronics) ,Neurophysiological Monitoring ,law.invention ,Microcontroller ,CMOS ,law ,Microsystem ,Remote Sensing Technology ,Interposer ,Humans ,Electrical and Electronic Engineering ,Resistor ,business ,Electronic circuit - Abstract
Heterogeneously integrated and miniaturized neural sensing microsystems are crucial for brain function investigation. In this paper, a 2.5D heterogeneously integrated bio-sensing microsystem with $\mu$ -probes and embedded through-silicon-via (TSVs) is presented for high-density neural sensing applications. This microsystem is composed of $\mu$ -probes with embedded TSVs, 4 dies and a silicon interposer. For capturing 16-channel neural signals, a 24 $\times$ 24 $\mu$ -probe array with embedded TSVs is fabricated on a $5\times 5\ {\rm mm}^{2}$ chip and bonded on the back side of the interposer. Thus, each channel contains 6 $\times$ 6 $\mu$ -probes with embedded TSVs. Additionally, the 4 dies are bonded on the front side of the interposer and designed for biopotential acquisition, feature extraction and classification via low-power analog front-end (AFE) circuits, area-power-efficient analog-to-digital converters (ADCs), configurable discrete wavelet transforms (DWTs), filters, and a MCU. An on-interposer bus ( $\mu$ -SPI) is designed for transferring data on the interposer. Finally, the successful in-vivo test demonstrated the proposed 2.5D heterogeneously integrated bio-sensing microsystem. The overall power of this microsystem is only 676.3 $\mu{\rm W}$ for 16-channel neural sensing.
- Published
- 2015
142. Structural analysis of the unique insecticidal activity of novel mungbean defensin VrD1 reveals possibility of homoplasy evolution between plant defensins and scorpion neurotoxins
- Author
-
Chan Lin, Kuo-Long Lou, Shu-Bin Horng, Po-Tsang Huang, Yi-Ching Hsueh, Ching-San Chen, and Yu-Shuan Shiau
- Subjects
Models, Molecular ,Insecticides ,Plant defensin ,Molecular Sequence Data ,Neurotoxins ,Plasma protein binding ,Biology ,Ion Channels ,Homology (biology) ,Defensins ,Evolution, Molecular ,Scorpions ,Structure-Activity Relationship ,Structural Biology ,Phylogenetics ,Complementary DNA ,Botany ,Animals ,Structure–activity relationship ,Amino Acid Sequence ,Enzyme Inhibitors ,Molecular Biology ,Peptide sequence ,Defensin ,Phylogeny ,Plant Proteins ,Fabaceae ,Biochemistry ,Protein Binding - Abstract
A variety of evolutionarily related defensin molecules is found in plants and animals. Plant gamma-thionins and scorpion neurotoxins, for instance, may be categorized in this functional group, although each class recognizes a distinct receptor binding site. Such molecules are also categorized into the superfamily of cysteine-rich proteins. Plant defensins were generally believed to be involved in antimicrobial or antifungal mechanisms and, unlike scorpion toxins, little is known about whether these molecules are also endowed with the function of insect resistance. We have previously reported the isolation of a cDNA encoding a small cysteine-rich protein designated VrD1 (VrCRP) from a bruchid-resistant mungbean, which is apparently the first discovered plant defensin exhibiting in vitro and in vivo both insecticidal and antifungal activities. Our previous data also successfully demonstrated that VrD1 is toxic to E. coli and able to completely arrest the growth of Sf-21 insect cells at low concentration. However, the molecular and structural basis of this unique insecticidal activity of VrD1 is not clear. Therefore, in the present study, we use structural approach and phylogenic analysis to investigate the evolutionary and functional relations for such unique insecticidal activity. From our results, it is suggested that VrD1, in addition to gamma-thionins and several amylase inhibitors, is highly homologous to scorpion toxins, especially the short toxins. Moreover, based on the observation from our homology structures, VrD1 may utilize a newly found cluster of basic residues to achieve its insecticidal function, whereas all the other plant gamma-thionins were known to use a previously identified basic cluster conserved for gamma-thionins. Considering the general feature of short scorpion toxins to act on insect cell membranes with K(+)- or Cl(-)-channels as molecular targets, our analysis of interaction and recognition modes provides reasonable correlations between this newly found basic cluster and the insecticidal activity of VrD1, which is also comprehended as a possible link for "homoplasy evolution" between plant and animal defensin molecules.
- Published
- 2006
- Full Text
- View/download PDF
143. A possible molecular mechanism of hanatoxin binding-modified gating in voltage-gated K+-channels
- Author
-
Yuh-Yuan Shiau, Po-Tsang Huang, Kuo-Long Lou, Yu-Shuan Shiau, Horng-Huei Liou, and Yen-Chywan Liaw
- Subjects
Models, Molecular ,Potassium Channels ,Protein Conformation ,Stereochemistry ,History, Early Modern 1451-1600 ,Molecular simulation ,Gating ,Structural Biology ,Computer Simulation ,Hanatoxin ,Shaker ,Molecular Biology ,Binding Sites ,Chemistry ,Potassium channel ,Protein Subunits ,Potassium Channels, Voltage-Gated ,Docking (molecular) ,Shaker Superfamily of Potassium Channels ,Molecular mechanism ,Biophysics ,Peptides ,Ion Channel Gating ,Linker ,Delayed Rectifier Potassium Channels ,Protein Binding - Abstract
While S4 is known as the voltage sensor in voltage-gated potassium channels, the carboxyl terminus of S3 (S3C) is of particular interest concerning the site for gating modifier toxins like hanatoxin. The thus derived helical secondary structural arrangement for S3C, as well as its surrounding environment, has since been intensively and vigorously debated. Our previous structural analysis based on molecular simulation has provided sufficient information to describe reasonable docking conformation and further experimental designs (Lou et al., 2002. J. Mol. Recognit. 15: 175–179). However, if one only relies on such information, more advanced structure–functional interpretations for the roles S3C may play in the modification of gating behavior upon toxin binding will remain unknown. In order to have better understanding of the molecular details regarding this issue, we have performed the docking simulation with the S3C sequence from the hanatoxin-insensitive K+-channel, shaker, and analyzed the conformational changes resulting from such docking. Compared with other functional data from previous studies with respect to the proximity of the S3–S4 linker region, we suggested a significant movement of drk1 S3C, but not shaker S3C, in the direction presumably towards S4, which was comprehended as a possible factor interfering with S4 translocation during drk1 gating in the presence of toxin. In combination with the discussions for structural roles of the length of the S3–S4 linker, a possible molecular mechanism to illustrate the hanatoxin binding-modified gating is proposed. Copyright © 2003 John Wiley & Sons, Ltd.
- Published
- 2003
- Full Text
- View/download PDF
144. Structural Influence of Hanatoxin Binding on the Carboxyl Terminus of S3 Segment in Voltage-Gated K + -Channel Kv2.1
- Author
-
T. Y. Chen, Po-Tsang Huang, Y. Y. Shiau, H. H. Liou, H. C. Spatz, Kuo-Long Lou, T. B. Lin, and L. J. Tseng
- Subjects
Pharmacology ,Voltage-gated ion channel ,Stereochemistry ,Chemistry ,Clinical Biochemistry ,Gating ,Cell Biology ,Turn (biochemistry) ,Protein structure ,Endocrinology ,Helix ,Hanatoxin ,Binding site ,Protein secondary structure - Abstract
The voltage-sensing domains of voltage-gated potassium channels Kv2.1 (drk1) contain four transmembrane segments in each subunit, termed S1 to S4. While S4 is known as the voltage sensor, the carboxyl terminus of S3 (S3C) bears a gradually broader interest concerning the site for gating modifier toxins like hanatoxin and thus the secondary structure arrangement as well as its surrounding environment. To further examine the putative three-dimensional (3-D) structure of S3C and to illustrate the residues required for hanatoxin binding (which may, in turn, show the influence on the S4 in terms of changes in channel gating), molecular simulations and dockings were performed. These were based on the solution structure of hanatoxin and the structural information from lysine-scanning results for S3C fragment. Our data suggest that several basic and acidic residues of hanatoxin are electrostatically and stereochemically mapped onto their partner residues on S3C helix, whereas some aromatic or hydrophobic residues located on the same helical fragment interact with the hydrophobic patch of the toxin upon binding. Therefore, a slight distortion of the S3C helix, in a direction toward the N-terminus of S4, may exist. Such conformational change of S3C upon toxin binding is presented as a possible explanation for the observed shift in hanatoxin binding-induced gating.
- Published
- 2002
- Full Text
- View/download PDF
145. A novel junctionless FinFET structure with sub-5nm shell doping profile by molecular monolayer doping and microwave annealing
- Author
-
Shu-Han Hsu, H.-C. Chen, Wen-Kuan Yeh, Po-Jung Sung, Yao-Jen Lee, Michael I. Current, W.-F. Wu, Wen-Hsien Huang, Po-Tsang Huang, Yiming Li, J. Marino, Tien-Sheng Chao, Kuo-Hsing Kao, Ta-Chun Cho, Fu-Kuo Hsueh, Chien-Ting Wu, B. Hengstebeck, T. Buyuklimanli, and Jia-Min Shieh
- Subjects
Materials science ,business.industry ,Microwave annealing ,Monolayer ,Doping ,Shell (structure) ,Molecule ,Optoelectronics ,Nanotechnology ,business ,Random dopant fluctuation ,Doping profile ,Threshold voltage - Abstract
For the first time, a novel junctionless (JL) FinFET structure with a shell doping profile (SDP) formed by molecular monolayer doping (MLD) method and microwave annealing (MWA) at low temperature is proposed and studied. Thanks to the ultra thin SDP leading to an easily-depleted channel, the proposed JLFinFET can retain the ideal subthreshold swing (∼ 60 mV/dec) at a high doping level according to simulations. Poly Si based JLFinFETs processed with MLD and MWA exhibit superior subthreshold swing (S.S. ∼ 67mV/dec) and excellent on-off ratio (>106) for both n and p channel devices. Threshold voltage (V TH ) variation due to random dopant fluctuation (RDF) is reduced in MLD-JLFinFETs, which can be attributed to the molecule self-limiting property of MLD on the Si surface and quasi-diffusionless MWA at low temperature. Our results reveal the potential of the proposed SDP enabling a JLFET showing reduced variation and outstanding performance for low power applications.
- Published
- 2014
- Full Text
- View/download PDF
146. 0.339fJ/bit/search energy-efficient TCAM macro design in 40nm LP CMOS
- Author
-
Wei Hwang, Ching-Te Chuang, Paul Sen Kan, Bright Zhang, Michael Jia, Shu-Lin Lai, Po-Tsang Huang, Angelo Hu, Jason Huang, and Kimi Lv
- Subjects
Reduction (complexity) ,Engineering ,CMOS ,business.industry ,Gate oxide ,Logic gate ,Electronic engineering ,Macro ,business ,Hardware_LOGICDESIGN ,Efficient energy use ,Power (physics) ,Power control - Abstract
In this paper, a 256×40 energy-efficient ternary content addressable memory (TCAM) macro is designed and implemented in 40nm low power (LP) CMOS. Due to the thicker gate oxide in LP process, a 16T TCAM cell with p-type comparison circuits is proposed to increase the I on /I off difference of the dynamic circuitry. To further improve energy efficiency, don't-care-based ripple search-lines/bit-lines are used to reduce both the switching activities and wire capacitance. Moreover, column-based data-aware power control is employed for leakage power reduction and write-ability improvements. The experimental results show a leakage power reduction of 28.9%, a search-line power reduction of 31.74% and an energy efficiency metric of the TCAM macro of 0.339 fJ/bit/search.
- Published
- 2014
- Full Text
- View/download PDF
147. Energy-efficient configurable discrete wavelet transform for neural sensing applications
- Author
-
Po-Tsang Huang, Tang Hsuan Wang, Ho Ming Tong, Chi Tsung Chiu, Jin-Chern Chiou, Ching-Te Chuang, Kuo Hua Chen, Wei Hwang, and Kuan-Neng Chen
- Subjects
Discrete wavelet transform ,Wavelet ,CMOS ,Computer science ,Electronic engineering ,Filter (signal processing) ,Energy consumption ,Field-programmable gate array ,Efficient energy use ,Electronic circuit - Abstract
Highly integrated neural sensing microsystems are crucial to capture accurate signals for brain function investigations. In this paper, an energy-efficient configurable lifting-based discrete wavelet transform (DWT) is proposed for a high-density neural sensing microsystems to extract the features of neural signals by filtering the signals into different frequency bands. Based on the lifting-based DWT algorithm, the area and power consumption can be reduced by decreasing the computation circuits. Additionally, both the time window and mother wavelets can be adjusted via the configurable datapth. Moreover, the power-gating and clock-gating techniques are utilized to further reduce the energy consumption for the energy-limited bio-systems. The proposed configurable DWT is designed and implemented using TSMC 65nm CMOS low power process with total area of 0.11 mm 2 and power consumption of 26 μW. Moreover, this proposed DWT is also implemented in Lattice MachXO2-1200 FPGA and integrated in a 2.5D heterogeneously integrated high-density neural-sensing microsystem with the power consumption of 211.2 μW.
- Published
- 2014
- Full Text
- View/download PDF
148. Integrated microprobe array and CMOS MEMS by TSV technology for bio-signal recording application
- Author
-
Wei Hwang, Shang-Lin Wu, Kuo Hua Chen, Chih-Wei Chang, Chung Hsi Wu, Po-Tsang Huang, Shih Wei Lee, Ho Ming Tong, Ching-Te Chuang, Jin-Chern Chiou, Kuan-Neng Chen, Lei Chun Chou, and Chi Tsung Chiu
- Subjects
Wire bonding ,Materials science ,business.industry ,Noise (signal processing) ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Chip ,Signal ,Reduction (complexity) ,CMOS ,Soldering ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Biosignal ,business - Abstract
Bio-signal probes that provide stable observation with high-quality signals are crucial for understanding how the brain works and how the neural signal transmits. Because bio-signals are weak and noisy, the length of the string connecting the sensor and Complementary Metal-Oxide-Semiconductor (CMOS) circuit significantly impacts biosignal quality. The collected weak signals from the sensor must pass through a series of interconnections and interfaces that introduce noise and lead to bulky packaged systems. This work uses through-silicon via (TSV) technology to connect the μ-probe array bio-sensor and CMOS circuit located on opposite sides of a chip for brain neural sensing applications. With the elimination of wire bonding and the reduction of the soldering, bio-signal quality can be significantly improved.
- Published
- 2014
- Full Text
- View/download PDF
149. Energy-efficient low-noise 16-channel analog-front-end circuit for bio-potential acquisition
- Author
-
Kuan-Neng Chen, Chi-Tsung Chiu, Ching-Te Chuang, Kuo-Hua Chen, Shang-Lin Wu, Po-Tsang Huang, Jin-Chern Chiou, Ho-Ming Tong, Wei Hwang, and Teng-Chieh Huang
- Subjects
Efficiency factor ,Engineering ,Analog front-end ,business.industry ,Amplifier ,Bandwidth (signal processing) ,Electrical engineering ,Electronic engineering ,Converters ,business ,DC bias ,Low noise ,Efficient energy use - Abstract
In this paper, an energy-efficient and low-noise 16-channel analog front-end (AFE) circuitry is proposed for acquisition of electrophysiological signals. This fully integrated front-end circuit comprises two differential difference amplifiers (DDAs) and DC offset rejection components. Additionally, the DDA is designed using a double input G m -stage and a class-AB output for achieving high common-mode rejection ratio (CMRR), low-noise and energy efficiency. The 16-channel AFE with analog-to-digital converters (ADCs) is implemented in TSMC 0.18μm CMOS process. The measurement results show that the AFE can realize 60.3dB gain with only 20.67μW for each channel. The bandwidth of the AFE is from 2.32Hz to 6.61kHz. Furthermore, the total input referred noise and noise efficiency factor (NEF) are 0.826μV rms and 2.78 only within the target frequency range of 0.1Hz to kHz, respectively.
- Published
- 2014
- Full Text
- View/download PDF
150. A TSV-based heterogeneous integrated neural-signal recording device with microprobe array
- Author
-
Chuan-An Cheng, Shih-Wei Lee, Kuan-Neng Chen, Ho-Ming Tong, Kuo-Hua Chen, Po-Tsang Huang, Shang-Lin Wu, Chung-Hsi Wu, Jin-Chern Chiou, Cheng-Hao Chiang, Ching-Te Chuang, Lei-Chun Chou, Chi-Tsung Chiu, Chih-Wei Chang, and Wei Hwang
- Subjects
Microprobe ,Cmos mems ,CMOS ,Neural Prosthesis ,Computer science ,Microsystem ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Chip ,Signal ,Brain function - Abstract
Highly integrated and miniaturized neural sensing microsystems are crucial for brain function investigation and neural prostheses realization. This paper presents a TSV-based heterogeneous integrated neural-signal recording device with microprobe array. By TSV, microprobe array and CMOS circuit make connection on the opposite sides of the chip. By measurement results on electrical characteristics of devices and TSV, this recording device is ready for bio-medical applications.
- Published
- 2014
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.