29,846 results on '"PHOTOLITHOGRAPHY"'
Search Results
102. 95‐2: Ultra‐Small Pixel Color‐Conversion Arrays for MicroLED Displays with Color‐Purification Enhanced Color Gamut.
- Author
-
Wang, Guan-Wen, Chiu, Hao-Sung, Chen, Chi-Shiang, Lin, Jian-Hong, Cheng, Yen-Chia, Chen, Shan-Yu, Wu, Chen-Hsun, and Lin, Ching-Fuh
- Subjects
LIGHT emitting diodes ,INDUSTRIAL costs ,PHOTOLITHOGRAPHY ,PIXELS ,COLOR ,LED displays - Abstract
The color conversion array with 5080PPI high resolution, high efficiency and 144% DCI‐P3 color gamut is developed to replace mass transfer and provide low production cost and fast fabrication speed for micro‐LED displays. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
103. Enhancing Parameters Tuning of Overlay Models with Ridge Regression: Addressing Multicollinearity in High-Dimensional Data
- Author
-
Aris Magklaras, Christos Gogos, Panayiotis Alefragis, and Alexios Birbas
- Subjects
overlay modeling ,photolithography ,parameters tuning ,EUV lithography ,semiconductor manufacturing ,yield results ,Mathematics ,QA1-939 - Abstract
The extreme ultraviolet (EUV) photolithography process is a cornerstone of semiconductor manufacturing and operates under demanding precision standards realized via nanometer-level overlay (OVL) error modeling. This procedure allows the machine to anticipate and correct OVL errors before impacting the wafer, thereby facilitating near-optimal image exposure while simultaneously minimizing the overall OVL error. Such models are usually high dimensional and exhibit rigorous statistical phenomena such as collinearities that play a crucial role in the process of tuning their parameters. Ordinary least squares (OLS) is the most widely used method for parameters tuning of overlay models, but in most cases it fails to compensate for such phenomena. In this paper, we propose the usage of ridge regression, a widely known machine learning (ML) algorithm especially suitable for datasets that exhibit high multicollinearity. The proposed method was applied in perturbed data from a 300 mm wafer fab, and the results show reduced residuals when ridge regression is applied instead of OLS.
- Published
- 2024
- Full Text
- View/download PDF
104. A Thorough Review of Emerging Technologies in Micro- and Nanochannel Fabrication: Limitations, Applications, and Comparison
- Author
-
Koosha Karimi, Ali Fardoost, Nikhil Mhatre, Jay Rajan, David Boisvert, and Mehdi Javanmard
- Subjects
microchannels ,nanochannels ,photolithography ,soft lithography ,electron beam lithography ,focused ion beam ,Mechanical engineering and machinery ,TJ1-1570 - Abstract
In recent years, the field of micro- and nanochannel fabrication has seen significant advancements driven by the need for precision in biomedical, environmental, and industrial applications. This review provides a comprehensive analysis of emerging fabrication technologies, including photolithography, soft lithography, 3D printing, electron-beam lithography (EBL), wet/dry etching, injection molding, focused ion beam (FIB) milling, laser micromachining, and micro-milling. Each of these methods offers unique advantages in terms of scalability, precision, and cost-effectiveness, enabling the creation of highly customized micro- and nanochannel structures. Challenges related to scalability, resolution, and the high cost of traditional techniques are addressed through innovations such as deep reactive ion etching (DRIE) and multipass micro-milling. This paper also explores the application potential of these technologies in areas such as lab-on-a-chip devices, biomedical diagnostics, and energy-efficient cooling systems. With continued research and technological refinement, these methods are poised to significantly impact the future of microfluidic and nanofluidic systems.
- Published
- 2024
- Full Text
- View/download PDF
105. Piezoelectric Shunt Damping for a Planar Motor Application under Cryogenic Conditions
- Author
-
Niek Ambaum, Bilal Mokrani, and Hans Vermeulen
- Subjects
photolithography ,piezoelectric shunts ,RL shunt ,damping ,structural dynamics ,superconductive magnets ,Materials of engineering and construction. Mechanics of materials ,TA401-492 ,Production of electric energy or power. Powerplants. Central stations ,TK1001-1841 - Abstract
For several decades, Moore’s law has driven the semiconductor industry, with computational power and production costs as the main drivers. Such drivers have enabled several technological innovations in the mechatronics and dynamics architecture of photolithography machines, used for semiconductor circuits manufacturing. Among current investigations, the use of superconductive magnets would enable higher accelerating stages and, thus, higher throughput and lower manufacturing costs. However, this involves a complex magnet structure that needs to operate at cryogenic temperatures and mechanical resonances at relatively low frequencies as a result of the thermal architecture of the system. The damping options are also limited due to the very low temperature. This paper explores the use of shunted piezoelectric transducers for damping the internal modes of the magnet mass. A classical resistive and inductive RL shunt is considered. The study was conducted both numerically and experimentally on a demonstrator of a superconductive magnet plate concept, where piezoelectric transducers are incorporated to support the superconducting coils. The study demonstrates the effectiveness of piezoelectric shunts as a damping solution at very low temperatures, with limited impact of the temperature variation on the performance.
- Published
- 2024
- Full Text
- View/download PDF
106. Large-Scale High-Accuracy and High-Efficiency Phase Plate Machining
- Author
-
Guanhua Wang, Zhaoxiang Liu, Lvbin Song, Jianglin Guan, Wei Chen, Jian Liu, Jinming Chen, Min Wang, and Ya Cheng
- Subjects
diffractive optical elements ,photolithography ,femtosecond laser micromachining ,Fresnel phase plate ,Chemistry ,QD1-999 - Abstract
In this paper, multifunctional, multilevel phase plates of quartz substrate were efficiently prepared by using a newly developed polygon scanner-based femtosecond laser photolithography system combined with inductively coupled discharge plasma reactive-ion etching (ICP-RIE) technology. The femtosecond laser photolithography system can achieve a scanning speed of 5 m/s and a preparation efficiency of 15 cm2/h while ensuring an overlay alignment accuracy of less than 100 nm and a writing resolution of 500 nm. The ICP-RIE technology can control the etching depth error within ±5 nm and the mask-to-mask edge error is less than 1 μm. An 8-level Fresnel lens phase plate with a focal length of 20 mm and an 8-level Fresnel axicon phase plate with a cone angle of 5° were demonstrated. The diffraction efficiency was greater than 93%, and their performance was tested for focusing and glass cutting, respectively. Combined with the high-speed femtosecond laser photolithography system’s infinite field-of-view (IFOV) processing capability, the one-time direct writing preparation of phase plate masks of different sizes was realized on a 6-inch wafer. This is expected to reduce the production cost of quartz substrate diffractive optical elements and promote their customized mass production.
- Published
- 2024
- Full Text
- View/download PDF
107. Substrates for Soft X-Ray Microscopy Based on Si3N4 Membranes
- Author
-
Reunov, D. G., Gusev, N. S., Mikhailenko, M. S., Petrova, D. V., Malyshev, I. V., and Chkhalo, N. I.
- Published
- 2024
- Full Text
- View/download PDF
108. Methods for extending working distance using modified photonic crystal for near-field lithography.
- Author
-
Zhang, Wen-Peng, Li, Xiao-Tian, Dai, Jin-Hong, Wen, Zhong-Quan, Zhou, Yi, Chen, Gang, and Liang, Gaofeng
- Subjects
- *
PHOTONIC crystals , *PHOTONIC band gap structures , *LITHOGRAPHY , *POLARITONS , *PHONONIC crystals , *PHOTOLITHOGRAPHY , *AIR conditioning - Abstract
Near-field lithography has evident advantages in fabricating super-resolution nano-patterns. However, the working distance (WD) is limited due to the exponential decay characteristic of the evanescent waves. Here, we proposed a novel photolithography method based on a modified photonic crystal (PC), where a defect layer is embedded into the all-dielectric multilayer structure. It is shown that this design can amend the photonic band gap and enhance the desired high- k waves dramatically, then the WD in air conditions could be extended greatly, which would drastically relax the engineering challenges for introducing the near-field lithography into real-world manufacturing applications. Typically, deep subwavelength patterns with a half-pitch of 32 nm (i.e., λ /6) could be formed in photoresist layer at an air WD of 100 nm. Moreover, it is revealed that diversified two-dimensional patterns could be produced with a single exposure using linear polarized light. The analyses indicate that this improved dielectric PC is applicable for near-field lithography to produce super-resolution periodic patterns with large WD, strong field intensity, and great uniformity. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
109. Ambient Phosphor with High Efficiency and Long Lifetime in Poly(Methyl Methacrylate) Through Charge‐Transfer‐Mediated Triplet Exciton Formation for Photolithography Applications.
- Author
-
Liu, Ruyi, Liu, Chuanhao, Fu, Caixia, Zhu, Zhenzhen, Chen, Kuan, Li, Chuan, Wang, Lingjie, Huang, Yan, and Lu, Zhiyun
- Subjects
- *
PHOTOLITHOGRAPHY , *PHOSPHORS , *CHARGE transfer , *PHOSPHORESCENCE , *PHOTOCHEMICAL curing , *METHYL methacrylate - Abstract
Currently, purely organic compounds showing ambient phosphorescence with high efficiency (ΦP) and ultra‐long lifetime (τP) are quite rare and often need to be achieved in hydrophilic poly(vinyl alcohol)‐based hosts. This severely limits their applications. Here, we provide a solution to this issue by constructing an ortho‐linked donor‐acceptor (D−A) dyad whose D moiety has not only a long‐lived T1 state to achieve a long τP, but also a Tn state that is close to the S1 state of the dyad to trigger effective spin‐orbit charge transfer intersystem crossing (SOCT‐ISC). The rationality of this strategy was validated by a new phosphor OF‐BCz that is able to show a τP of 1.92 s and a ΦP of 30 % even in a less rigid matrix of poly(methyl methacrylate) (PMMA). Excitingly, OF‐BCz exhibited its potential as both a photocuring initiator and an in situ quality indicator, allowing for the visual detection of defects in photolithographic patterning. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
110. Low-Energy Ion Implantation and Deep-Mesa Si-Avalanche Photodiodes with Improved Fabrication Process.
- Author
-
Wang, Tiancai, Peng, Hongling, Cao, Peng, Zhuang, Qiandong, Deng, Jie, Chen, Jian, and Zheng, Wanhua
- Subjects
- *
ION implantation , *AVALANCHE photodiodes , *PHOTODIODES , *BREAKDOWN voltage , *SILICON nanowires , *PHOTOLITHOGRAPHY , *ELECTRIC capacity , *ELECTROSTATIC discharges - Abstract
Since the avalanche phenomenon was first found in bulk materials, avalanche photodiodes (APDs) have been exclusively investigated. Among the many devices that have been developed, silicon APDs stand out because of their low cost, performance stability, and compatibility with CMOS. However, the increasing industrial needs pose challenges for the fabrication cycle time and fabrication cost. In this work, we proposed an improved fabrication process for ultra-deep mesa-structured silicon APDs for photodetection in the visible and near-infrared wavelengths with improved performance and reduced costs. The improved process reduced the complexity through significantly reduced photolithography steps, e.g., half of the steps of the existing process. Additionally, single ion implantation was performed under low energy (lower than 30 keV) to further reduce the fabrication costs. Based on the improved ultra-concise process, a deep-mesa silicon APD with a 140 V breakdown voltage was obtained. The device exhibited a low capacitance of 500 fF, the measured rise time was 2.7 ns, and the reverse bias voltage was 55 V. Moreover, a high responsivity of 103 A/W@870 nm at 120 V was achieved, as well as a low dark current of 1 nA at punch-through voltage and a maximum gain exceeding 1000. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
111. Toward Sustainable Electronics: Exploiting the Potential of a Biodegradable Cellulose Blend for Photolithographic Processes and Eco‐Friendly Devices.
- Author
-
Palmieri, Elena, Maiolo, Luca, Lucarini, Ivano, Fattorini, Adriano Diaz, Tamburri, Emanuela, Orlanducci, Silvia, Calarco, Raffaella, and Maita, Francesco
- Subjects
- *
POLYMER blends , *CELLULOSE , *FLEXIBLE electronics , *ETHYLCELLULOSE , *TECHNOLOGICAL innovations , *CAPACITIVE sensors , *BIOSENSORS - Abstract
Flexible electronics has emerged as a promising field for the development of electronic devices with applications in wearables, biomedical sensors, and edible electronics. Biomaterials play a crucial role in fabricating flexible substrates, and the utilization of polymer blends offers exciting possibilities for tuning mechanical and chemical properties. This paper highlights the potential of a novel polymer blend based on ethyl cellulose (EC) and hydroxypropyl cellulose (HPC) in the fabrication of substrates for flexible electronics. By blending the two cellulose ethers, it is possible to tune the mechanical and chemical properties of the final substrate, tailored to meet specific requirements. To exploit such innovative green substrates for photolithographic processes, their stability, and processability is extensively investigated. The feasibility of photolithographic processes on such biodegradable and edible substrates is demonstrated by fabricating both resistive and capacitive sensors through standard photolithographic processes, presenting a breakthrough in terms of applicability. The utilization of such biomaterials holds tremendous potential for driving technological advancements in various fields. These materials pave the way for innovative devices catering to diverse applications, from agriculture to food and biomedicine. Importantly, they also promote a sustainable approach for their fabrication, laying the foundation for an environment‐aware future of technological progress. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
112. Photolithographic Rugged Electrode for High‐Density Low‐Contact‐Resistance Coplanar Organic Transistors.
- Author
-
Xian, Da, Zhao, Xiaoli, Liu, Jiayi, Wang, Bin, Tong, Yanhong, Tang, Qingxin, and Liu, Yichun
- Subjects
- *
THIN film transistors , *ORGANIC thin films , *COPLANAR waveguides , *ELECTRODES , *TRANSISTORS , *ORGANIC electronics , *ORGANIC field-effect transistors - Abstract
The realization of high‐performance photolithographic coplanar organic thin film transistors (OTFTs) is fundamental to boost cosmically commercial applications of organic electronics. However, photolithographic coplanar OTFTs generally suffer from poor charge injection and therefore poor filed‐effect performance. Here, a simple and effective strategy is developed to fabricate photolithographic rugged electrodes, and successfully achieve high‐density low‐contact‐resistance photolithographic coplanar OTFTs. Based on this versatile electrode, the wafer‐scale photolithographic rugged electrode can be easily achieved, and the device density of the coplanar OTFTs is as high as 28000 cm−2. The device shows excellent electrical properties with mobility up to 2.01 cm2 V−1 s−1 and Rc as low as 7.8 kΩ cm, which is superior to all the reported Ag‐electrode coplanar OTFTs. This work shows a reliable strategy to reduce the contact resistance of photolithographic coplanar OTFTs and elucidates the effect of injection resistance (Rinj) and access resistance (Racc) on coplanar OTFTs. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
113. Design of single‐layer bandstop fractal frequency selective surface based on WLAN applications.
- Author
-
Chen, Xiaojia, Liu, Tao, Zhang, Wangwei, Guo, Dongming, and Zhu, Huaxin
- Subjects
- *
FREQUENCY selective surfaces , *WIRELESS LANs , *PERMITTIVITY , *CURRENT distribution , *UNIT cell , *FREQUENCY response - Abstract
In this letter, we present a new frequency selective surface (FSS) for shielding 2.4–2.5 and 4.98–5.825 GHz wireless local area network (WLAN) bands. This FSS structure is based on the traditional Sierpinski octagon and we improve it. Compared with the traditional structure, the improved one has a smaller element size and better angular stability. The design is realized on float glass with a relative permittivity (εr ${\varepsilon }_{r}$) of 8, and the unit cell size is 0.1152λ0 × 0.1152λ0 (λ0 is the free space wavelength at the first resonant frequency). Wide rejection bandwidths (−10 dB) of 910 and 1630 MHz are obtained at 2.4–2.5 and 4.98–5.825 GHz, respectively. The surface current distribution and the equivalent circuit model are illustrated to explain the resonance behavior of the proposed FSS. Furthermore, this FSS exhibits stable frequency response to incident angles of 0°–80° under transverse electric and transverse magnetic polarizations. Finally, this FSS structure was fabricated and measured. The measured results demonstrate that this FSS structure has good performance in WLAN applications. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
114. Direct wire writing technique benefitting the flexible electronics.
- Author
-
Zhiyang Guo, Peishi Yu, Bo Li, Fuzhen Xuan, and Junhua Zhao
- Subjects
- *
FLEXIBLE electronics , *STRAIN sensors , *SCREEN process printing , *THREE-dimensional printing , *WIRE , *WEARABLE technology , *PHOTOLITHOGRAPHY - Abstract
This work proposes a rapid manufacturing technique for the conductive lines applied in flexible electronics, which is referred to as the 'direct wire writing (DWW)' technique. The fine metal wire is dragged out of the needle by adhesion, and attached to the stick-on substrate synchronously along design paths to form high-quality circuits. This technique overcomes the unstable performance of ink-based conductive lines fabricated by screen printing, spraying, 3D printing, etc., and avoids complex processes for stable metallic circuits mainly manufactured by the photolithography method, etc. Firstly, the forming mechanism of dominating the micro deformation behaviour (local-debonding, slip, warping) is clarified and analysed, which provides guidelines for fabricating in-plane wire patterns and 3D structural circuits rapidly and easily. Subsequently, some practical applications, including strain rosette, wearable sensor patch and light display are presented, showing the promising potential of the DWW technique in the ongoing exploration of flexible electronics. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
115. A Technique for Estimating the Pitch of Interference Fringe Patterns for Pattern Exposure in a Non-Orthogonal One-Axis Lloyd's Mirror Interferometer.
- Author
-
Takahiro, Nozomu and Shimizu, Yuki
- Subjects
DIFFRACTION patterns ,ESTIMATION theory ,OPTICAL interference ,PHOTOLITHOGRAPHY ,LASER beams ,INTERFEROMETERS ,MIRRORS ,LASER interferometers - Abstract
A technique to realize in-situ evaluation of the pitch of interference fringe patterns in a non-orthogonal Lloyd's mirror interferometer is proposed. The proposed method employs two laser sources with different wavelengths. Two magnified collimated laser beams with different wavelengths are then projected onto a non-orthogonal Lloyd's mirror interferometer to generate interference fringe patterns with different pitches. The interference fringe patterns with a pitch g
1 generated by a laser beam with a wavelength λ1 sensitive to the photoresist layer are employed for the pattern exposure, while the ones generated by a laser beam with a wavelength λ2 insensitive to the photoresist layer are employed to be observed by a microscopic optical system located at the back of the exposure substrate. This enables the estimation of the pitch of the interference fringe patterns with the pitch g1 during the exposure process in optical interference lithography, contributing to accelerating the alignment of the angular position of the reflective mirror in the interferometer. A prototype optical setup consisting of a beam-collimating unit with two laser sources having wavelengths of 405 nm and 780 nm, a non-orthogonal one-axis Lloyd's mirror interferometer unit, and a microscopic optical system is designed and developed, and experiments are conducted to demonstrate the feasibility of the proposed technique of estimating the pitch of interference fringe patterns for pattern exposure. [ABSTRACT FROM AUTHOR]- Published
- 2024
- Full Text
- View/download PDF
116. A Fabrication Method for Memristors with Graphene Top Electrodes and their Characterization.
- Author
-
Onay, Selin, Caylan, Omer R., Buke, Goknur, and Koymen, Itır
- Subjects
MEMRISTORS ,ELECTRODES ,BIOCOMPATIBILITY ,GRAPHENE ,PHOTOLITHOGRAPHY - Abstract
In recent years, there has been extensive research on the memristor, a non-volatile memory device that demonstrates effective emulation of biological synapses. The implementation of graphene as a top electrode in memristive switching systems presents an intriguing alternative to conventional materials such as Platinum. Graphene, as a carbon-derived material, possesses a remarkable area- to-volume ratio, biocompatibility, adsorption capabilities, and high electrical conductivity and thereby offers a promising avenue for the fabrication of biosensors with superior characteristics. This study reports a novel fabrication method of utilizing graphene as a top electrode in memristive devices. Characterization results of micrometric devices as well as larger memristive devices are also discussed. Larger devices show promising results to be used as memristive sensors. Microstructures have been fabricated successfully through developing a process f low and patterning graphene using photolithography and lift-off. E-beam evaporation and sputtering were used for depositing bottom metal electrodes and active layer respectively. Graphene was produced using the chemical vapor deposition (CVD) method and subsequently transferred using the fishing technique. Ultimately Pt/TiO
2 /TiOx /Graphene memristive devices were fabricated. [ABSTRACT FROM AUTHOR]- Published
- 2024
- Full Text
- View/download PDF
117. Propulsion of Planar V‐Shaped Microswimmers in a Conically Rotating Magnetic Field.
- Author
-
Duygu, Yasin Cagatay, Cheang, U Kei, Leshansky, Alexander M., and Kim, Min Jun
- Subjects
MAGNETIC fields ,MAGNETIC bearings ,AQUEOUS solutions ,PHOTOLITHOGRAPHY ,MAGNETIC control - Abstract
Planar magnetic microswimmers bear great potential for in vivo biomedical applications as they can be mass‐produced at minimal costs using standard photolithography techniques. Therefore, it is central to understand how to control their motion. This study examines the propulsion of planar V‐shaped microswimmers in an aqueous solution powered by a conically rotating magnetic field and compares the experimental results with theory. Propulsion is investigated upon altering the cone angle of the driving field. It is shown that a V‐shaped microswimmer magnetized along its symmetry axis exhibits unidirectional in‐sync propulsion with a constant (frequency‐independent) velocity in a limited band of actuation frequencies. It is also demonstrated that the motion of individual and multiple in‐plane magnetized planar microswimmers in a conically rotating field can be efficiently controlled. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
118. Fabrication of PEG‐anthracene/alginate double‐network hydrogels and their application in photolithography.
- Author
-
Ma, Zhang‐Peng, Song, Xue, Yang, Bing‐Zi, Liu, Si‐Tong, Zheng, Ru‐Yu, Xu, Xing‐Zhou, Liu, Chun‐Hua, and Zhu, Yuan‐Yuan
- Subjects
ALGINATES ,HYDROGELS ,PHOTOLITHOGRAPHY ,ANTHRACENE ,SMART materials ,PARALLEL electric circuits ,VISCOSITY solutions ,ANTHRACENE derivatives - Abstract
The development of double‐network hydrogels provides a feasible strategy to improve the mechanical properties of pure organic hydrogels. We report a new type of dynamic double‐network hydrogels based on alginate and four‐armed PEG bearing anthracene at the terminal group. The ductile network is constructed through the photopolymerization of four‐armed PEG‐anthracene using the dimerization of terminal anthracene as the cross‐linking point, and alginate as the brittle network. The doping of alginate improves the precursor viscosity of solution and slows its fluidity. In particular, the inclusive metal cations endow the hydrogels with good conductivity. As the demonstration toward photolithography, the letter patterns and a parallel circuit are fabricated through the mask exposure method. By employing selective photo‐crosslinking, their shapes and patterns can be precisely controlled. Owing to the photo‐responsive features of the PEG‐anthracene network, the hydrogels also behave dynamically mechanical and shape‐memory properties via switching the irradiation wavelength. In addition, the water retention capacity can be improved by adding glycerol. This work demonstrates that anthracene is an effective photopolymerization unit in constructing dynamic double‐network hydrogels that show potential applications in smart soft materials. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
119. Polypeptoids: Exploring the Power of Sequence Control in a Photoresist for Extreme‐Ultraviolet Lithography.
- Author
-
Käfer, Florian, Wang, Chaoqiuyu, Huang, Yuming, Bard, Francesca, Segalman, Rachel, and Ober, Christopher K.
- Subjects
- *
LITHOGRAPHY , *PHOTORESISTS , *ISOPROPYL alcohol , *PHENOL , *PHOTOLITHOGRAPHY - Abstract
A new type of a positive tone chemically amplified photoresist based on well‐defined, sequence‐controlled polypeptoids with ten repeat‐units are synthesized and their potential for extreme‐UV lithography (EUVL) is demonstrated, resulting in line‐space patterns of 70 nm pitch. The synthesized samples contain 4‐(ethyl) phenol (Eph) and propyne (Ppy) side chains, while their change in solubility upon exposure is induced by the deprotection of 4‐(ethyl) phenol side groups. The resist performance is evaluated using deep UV and extreme‐UV lithography. While all samples are developable in isopropyl alcohol, the content, and the sequence of hydrophobic alkyne side chains lead to a detectable change in solubility, dissolution rate, and resist performance. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
120. Fundamentals and applications of metamaterials: Breaking the limits.
- Author
-
Krushynska, A. O., Janbaz, S., Oh, J. H., Wegener, M., and Fang, N. X.
- Subjects
- *
METAMATERIALS , *NEGATIVE refraction , *AUTHOR-editor relationships , *LIGHT transmission , *TOPOLOGICAL property , *PHOTOLITHOGRAPHY - Abstract
This document provides an overview of the design and applications of metamaterials, which are composites with engineered architectures that have unique properties. The article discusses different design strategies for metamaterials, including nonlinear properties and bio-inspired approaches. It also explores the expansion of metamaterial functionalities, such as tunable architectures and topological properties. The article highlights recent breakthroughs in metamaterial functionalities, including plasmon-mediated optical transmission and negative refraction. It discusses various applications of metamaterials, such as photolithography, sensors, and wave control devices. The authors acknowledge the contributions of the authors and editors involved in the Special Topic. [Extracted from the article]
- Published
- 2023
- Full Text
- View/download PDF
121. Fabrication of Silver Iodide (AgI) Patterns via Photolithography and Its Application to In-Situ Observation of Condensation Frosting.
- Author
-
Okabe, Takao, Tang, Jinchen, Nishimura, Katsuhiko, and Shikazono, Naoki
- Subjects
- *
SILVER iodide , *PHOTOLITHOGRAPHY , *CONDENSATION , *BINDING agents , *PHOTORESISTS - Abstract
This study introduces an innovative photolithography-based method for patterning ionic and inorganic particle materials such as silver iodide (AgI). Conventional methods lack precision when patterning powdered materials, which limits their applicability. The proposed method stacks layers of a particle material (AgI) and negative-tone photoresist for simultaneous ultraviolet exposure and development, resulting in well-defined AgI patterns. The sintering process successfully removed binders from the material layer and photoresist, yielding standalone AgI patterns on the Si substrate with good adhesion. The pitch remained consistent with the design values of the photomask when the pattern size was changed. In-situ observation of condensation frosting on the patterns was conducted, which confirmed the practicality of the developed patterning process. This versatile method is applicable to large areas with a high throughput and presents new opportunities for modifying functional surfaces. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
122. Micro Machining of a Si3N4/SiO2/Ti/Pt Hotplate and Its IR Emissivity Properties.
- Author
-
Rwenyagila, Egidius R.
- Subjects
SILICON oxide ,PHOTOLITHOGRAPHY ,MICROELECTROMECHANICAL systems ,VOLTAGE ,INFRARED radiation - Abstract
This paper presents the fabrication processes for a Si
3 N4 /SiO2 /Ti/Pt membrane based micro hotplate (MHP) using photolithography (PL) micro-machining techniques. The properties of the MHP filament are investigated and overall performance characteristics with regard to infrared (IR) radiation emissivity, resistance, breakdown voltage, and temperature of the fabricated Si3 N4 /SiO2 /Ti/Pt micro heater. During characterization, the results show the device breakdown voltage of 24.24 V corresponding to the optimum operation temperature of ~ 1730.30 K. The device also showed an emissivity value of 5.2% with ~ 7% efficiency of transforming electrical power to IR thermal radiation power. The relationship between temperature and resistance, behaviour of fourth power of temperature and thermal response time constant of IR radiation obtained from direct experimental measurements, analytical calculations and extrapolations are shown to provide practical parameters that are needed for applications of MHP in IR radiation sources. [ABSTRACT FROM AUTHOR]- Published
- 2023
- Full Text
- View/download PDF
123. Water-Soluble Biomass Resist Materials Based on Polyglucuronic Acid for Eco-Friendly Photolithography.
- Author
-
Miura, Sayaka, Hachikubo, Yuna, Yamagishi, Rio, Ando, Mano, and Takei, Satoshi
- Subjects
PHOTOLITHOGRAPHY ,BIOMASS ,COATING processes ,ORGANIC coatings ,MICROFABRICATION - Abstract
This study presents the development of photolithography employing biomass-based resist materials derived from polyglucuronic acid. Traditional resist materials require coating and developing procedures involving organic solvents, whereas our approach enables the use of water-based spin-coating and developing processes. The water-soluble biomass resist material, derived from polyglucuronic acid, exhibited exceptional photosensitivity at an exposure wavelength of 365 nm and a dose of approximately 90 mJ/cm
2 . We successfully patterned the microstructures, creating 3 µm lines and 6 µm holes. This organic solvent-free coating process underscores its applicability in scenarios such as in the microfabrication on plastic substrates with limited organic solvent tolerance and surface-patterning biomaterials containing cells and culture components. [ABSTRACT FROM AUTHOR]- Published
- 2023
- Full Text
- View/download PDF
124. Development of Shape Prediction Model of Microlens Fabricated via Diffuser-Assisted Photolithography.
- Author
-
Kim, Ha-Min, Shin, Yoo-Kyum, and Seo, Min-Ho
- Subjects
PHOTOLITHOGRAPHY ,PREDICTION models ,EXPOSURE dose ,CONTACT angle ,MICROLENSES - Abstract
The fabrication of microlens arrays (MLAs) using diffuser-assisted photolithography (DPL) has garnered substantial recent interest owing to the exceptional capabilities of DPL in adjusting the size and shape, achieving high fill factors, enhancing productivity, and ensuring excellent reproducibility. The inherent unpredictability of light interactions within the diffuser poses challenges in accurately forecasting the final shape and dimensions of microlenses in the DPL process. Herein, we introduce a comprehensive theoretical model to forecast microlens shapes in response to varying exposure doses within a DPL framework. We establish a robust MLA fabrication method aligned with conventional DPL techniques to enable precise shape modulation. By calibrating the exposure doses meticulously, we generate diverse MLA configurations, each with a distinct shape and size. Subsequently, by utilizing the experimentally acquired data encompassing parameters such as height, radius of curvature, and angles, we develop highly precise theoretical prediction models, achieving R-squared values exceeding 95%. The subsequent validation of our model encompasses the accurate prediction of microlens shapes under specific exposure doses. The verification results exhibit average error rates of approximately 2.328%, 7.45%, and 3.16% for the height, radius of curvature, and contact angle models, respectively, all of which were well below the 10% threshold. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
125. Water-soluble bio-sourced resist interactions with fluorinated etching plasmas during the photolithography process.
- Author
-
Durin, Paule, Sysova, Olha, Téolis, Alexandre, Trombotto, Stéphane, Hajjar-Garreau, Samar, Delair, Thierry, Servin, Isabelle, Rochat, Névine, Tiron, Raluca, Gablin, Corinne, Soppera, Olivier, Benamrouche, Aziz, Géhin, Thomas, Léonard, Didier, Leclercq, Jean-Louis, and Chevolot, Yann
- Subjects
SECONDARY ion mass spectrometry ,PLASMA etching ,CHAIN scission ,WATER-soluble polymers ,PHOTOLITHOGRAPHY ,X-ray photoelectron spectroscopy ,INFRARED spectroscopy - Abstract
Lithography is one of the key steps in micro/nanofabrication, which involves the use of oil-based resists, organic solvents, and toxic chemicals. Nowadays, environmental issues and regulation have raised the need for developing greener materials and processes. Therefore, efforts have been devoted to developing greener resists, in particular, resists based on water-soluble bio-sourced polymers. Among these biopolymers, polysaccharides have gained a strong interest. However, their interaction with silica etching plasmas, in particular, fluorinated plasmas, remains scarcely studied and contradictory results are found in the literature. The present contribution reports on the study of the interaction of two chitosans exhibiting different degrees of N-acetylation with SF
6 /Ar and CHF3 etching plasmas. The surface modifications and in-depth modifications were studied with x-ray photoelectron spectroscopy, time-of-flight secondary ion mass spectrometry, infrared spectroscopy, water contact angle, and size-exclusion chromatography. The effect of neutrals, ions, and vacuum ultraviolet (VUV) was considered. Our results suggest that the chitosan selectivity is greatly influenced by the deposition of a fluorocarbon film and that VUV seems to be involved in scissions of the polymer chains. No significant difference between the two chitosans was observed. [ABSTRACT FROM AUTHOR]- Published
- 2023
- Full Text
- View/download PDF
126. Scheduling a Real-World Photolithography Area With Constraint Programming.
- Author
-
Deenen, Patrick, Nuijten, Wim, and Akcay, Alp
- Subjects
- *
CONSTRAINT programming , *PHOTOLITHOGRAPHY , *SETUP time , *MACHINE tools , *SCHEDULING - Abstract
This paper studies the problem of scheduling machines in the photolithography area of a semiconductor manufacturing facility. The scheduling problem is characterized as an unrelated parallel machine scheduling problem with machine eligibilities, sequence- and machine-dependent setup times, auxiliary resources and transfer times for the auxiliary resources. Each job requires two auxiliary resources: a reticle and a pod. Reticles are handled in pods and a pod contains multiple reticles. Both reticles and pods are used on multiple machines and a transfer time is required if transferred from one machine to another. A novel constraint programming (CP) approach is proposed and is benchmarked against a mixed-integer programming (MIP) method. The results of the study, consisting of a real-world case study at a global semiconductor manufacturer, demonstrate that the CP approach significantly outperforms the MIP method and produces high-quality solutions for multiple real-world instances, although optimality cannot be guaranteed. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
127. A mould-free soft-lithography approach for rapid, low-cost and bulk fabrication of microfluidic chips using photopolymer sheets.
- Author
-
Rahul, R., Prasad, Nikhil, Ajith, R. R., Sajeesh, P., Mini, R. S., and Kumar, Ranjith S.
- Abstract
Most of the existing microfluidic chip fabrication techniques are very complex, time-consuming, costly, and are not amenable to mass manufacturing. Impending commercialization of lab-on-a-chip devices demand development of new microfabrication methods that involve least procedural complexities using cost-effective materials. This paper proposes an inexpensive and time-efficient procedure for constructing microfluidic devices on a flexographic sheet which is available as commercial-off-the-shelf material, using a mould-free soft-lithography approach. Microchannel design is transferred to a negative-resist photopolymer sheet (PPS) using collimated ultraviolet (UV) rays and etching is performed to remove unexposed material. The microchannel network is sealed on the top by a photopolymer sheet of the same material and pressure-assisted bonding is performed in the presence of UV. The cross-linking between photopolymers in the mating surfaces ensures relatively high bond strength and perfect sealing. Simple and complex microchannel network with 100–500 μ m width is created using this method and various characterization tests are performed. A functional leakage test ensured that the fabricated chip could withstand 200 kPa pressure at a maximum flow rate of 12 mL/min. Cell culture, biomolecule visualization, and droplet mixing dynamics are studied in the microchip to demonstrate its practical utility. Moreover, a large-area chip with 260 × 190 mm 2 is created using PPS with this three-step method. Most importantly, this method could mass produce 24 microchips with multiple designs within a span of 2 h. In other words, the average time incurred for the fabrication of a single microchip (50 × 30 mm 2 ) is less than 5 min. Results suggest that it is a promising method flexible enough to create large-sized chips and to bulk-fabricate microchips having versatile channel designs with high fidelity. Since flexographic infrastructure and materials are very cheap and common in resource-limited settings, the proposed method assumes more importance in the context of rapid commercialization of lab-on-a-chip devices. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
128. P‐10.11: Digital Microfluidics Chip for Sweat Detection Based on Dielectric Wetting.
- Author
-
Zhang, Zhiwei, Li, Yi, Liang, Jie, Zhang, Lei, and Zhang, Jianhua
- Subjects
MICROFLUIDICS ,PERSPIRATION ,HYDROPHOBIC surfaces ,DIELECTRICS ,HIGH voltages ,WETTING ,MICRODROPLETS - Abstract
Digital microfluidics (DMF) is a technique for actively dispensing and manipulating discrete microdroplets on hydrophobic surfaces. DMF devices based on electrowetting on medium (EWOD) effect are widely used due to their advantages of fast response speed, simple system and high accuracy. Microfluidics is important for sweat sensing because it minimizes sweat pollution and evaporation of sweat on the skin. However, the current microfluidic channel has some problems, such as the old and new sweat is easy to mix in the channel, the self‐driving efficiency is too low, and the small sweat volume cannot be detected in time. Digital microfluidics can accurately transmit small sweats, avoid sweat residue in the channel, and have high voltage drive efficiency. Therefore, in this paper, we will use DMF digital microfluidic array technology to realize the collection of trace sweat, and realize the collection and detection of micro‐nano fabrication technology—photolithography. In this way, it is a microfluidic sweat sensor that realizes efficient transmission, trace detection, and intelligent monitoring, and is expected to be applied in wearable sweat sensing. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
129. 70‐2: Photoresist simulation about the sidewall angel of Microߚlens for OLED light extraction.
- Author
-
Hu, Liang, Cai, Yu, Shen, Peng, and Yuan, Yong
- Subjects
PHOTORESISTS ,PHOTOLITHOGRAPHY ,ORGANIC light emitting diodes ,FRUSTRATION - Abstract
A numerical analytical method to quantify and predict the sidewall angle of photoresist was developed. The parameter which needed to simulation of this method can be obtained more conveniently different from previous complicated model. By this method, we can predict the sidewall angle of photoresist, design correct photomask and avoid lots of futility experiments. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
130. 27‐3: Progress in High‐Performance AMOLED Display with ViP™ Technology.
- Author
-
Xiao, Yiming, Ding, Zhendong, Ni, Liusong, Fu, Yuting, Yao, Yuan, Zhang, Haohan, Zhu, Xuejing, Xia, Zengqiang, Zu, Zhao, Dong, Zhengkui, Yang, Bowen, Xue, Murong, Du, Yongqiang, Liu, Yucheng, Lai, Yiyou, Lee, CC, Zhu, Xiujian, Peng, Zhaoji, and Zhang, Deqiang
- Subjects
MASS production - Abstract
ViP™ (Visionox intelligent Pixelization) Technology is a novel RGB pixelization approach without Fine Metal Mask for OLED patterning. ViP™technology was released in May 2023. In this paper, some key features of display performance and mass production outlook of ViP™ Technology will be discussed. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
131. 12‐3: A Liquid Crystal Polymer Matrix‐Based Solution for Linear Polarization Color Conversion‐Photoalignment Lithography Pixelated Color Filters.
- Author
-
SONG, Jianxin, PRODANOV, Maksym, GAO, Yiyang, KANG, Chengbin, MALLEM, Kumar, LIAO, Zebing, BHADRA, Debjyoti, VASHCHENKO, Valerii, and SRIVASTAVA, Abhishek
- Subjects
POLYMER solutions ,CRYSTALLINE polymers ,LITHOGRAPHY ,LINEAR polarization ,PHOTOLITHOGRAPHY ,LIGHT filters - Abstract
This study reports a method to fabricate linearly polar ized color filters (CFs) using quantum rods (QRs) as emitters. Direct photolithography is employed with a li quid crystal polymer matrix to align QR‐CFs. Red and green CFs are developed using CdSe/CdS and CdSe/CdZnS QRs. Optimization of the curing agent concent ration improves QR dispersion and emission. The fabr icated single‐pixel CFs demonstrate a polarization deg ree of 0.33. This novel patterning technique produces high‐quality polarized CFs with applications in next‐ge neration displays. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
132. A new visible light-sensitive, oxygen-tolerant photoinitiator system for the synthesis of polyacrylamide gels by maskless photopolymerization.
- Author
-
Mallphanov, Ilya L., Vanag, Vladimir K., and Lavrova, Anastasia I.
- Subjects
- *
PHOTOPOLYMERIZATION , *POLYACRYLAMIDE , *BROMATES , *CHEMICAL elements , *PHOTOLITHOGRAPHY , *ACRYLAMIDE , *RUTHENIUM - Abstract
[Display omitted] A new bis(2,2'-bypiridine)(1,10-phenanthroline)ruthenium(II) chloride–sodium bromate–malonic acid system has been developed for visible light-initiated polymerization of acrylamide. Its application allows the use of digital maskless photolithography to create 2D figures from polyacrylamide gel and their ansamblies. The system was used to create a chemical logic element 'AND'. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
133. Tuning the Physical Properties of Aerogels by Spatially Selective Modification
- Author
-
Bertino, Massimo F., Reichenauer, Gudrun, Merkle, Dieter, Managing Editor, Aegerter, Michel A., editor, Leventis, Nicholas, editor, Koebel, Matthias, editor, and Steiner III, Stephen A., editor
- Published
- 2023
- Full Text
- View/download PDF
134. Effect of Surface-Modified Copper Substrate by Photolithography On the Solderability of Lead-Free Solder Alloy
- Author
-
Mohd Mahayuddin, Nurul Aida Husna, Wahab, Juyana A., Mohd Salleh, Mohd Arif Anuar, Roduan, Siti Faqihah, Mohd Salleh, Mohd Arif Anuar, editor, Che Halin, Dewi Suriyani, editor, Abdul Razak, Kamrosni, editor, and Ramli, Mohd Izrul Izwan, editor
- Published
- 2023
- Full Text
- View/download PDF
135. Lithography in Drug Delivery
- Author
-
Tran, Khanh T. M., Nguyen, Thanh D., Braund, Rhiannon, Series Editor, and Lamprou, Dimitrios, editor
- Published
- 2023
- Full Text
- View/download PDF
136. Micro Sized Interdigital Capacitor for Gases Detection Based on Graphene Oxide Coating
- Author
-
Vitoria, Ignacio, Armas, Dayron, Coronel, Carlos, Algarra, Manuel, Zamarreño, Carlos Ruiz, Matias, Ignacio R., Mukhopadhyay, Subhas C., Angrisani, Leopoldo, Series Editor, Arteaga, Marco, Series Editor, Chakraborty, Samarjit, Series Editor, Chen, Jiming, Series Editor, Chen, Shanben, Series Editor, Chen, Tan Kay, Series Editor, Dillmann, Rüdiger, Series Editor, Duan, Haibin, Series Editor, Ferrari, Gianluigi, Series Editor, Ferre, Manuel, Series Editor, Jabbari, Faryar, Series Editor, Jia, Limin, Series Editor, Kacprzyk, Janusz, Series Editor, Khamis, Alaa, Series Editor, Kroeger, Torsten, Series Editor, Li, Yong, Series Editor, Liang, Qilian, Series Editor, Martín, Ferran, Series Editor, Ming, Tan Cher, Series Editor, Minker, Wolfgang, Series Editor, Misra, Pradeep, Series Editor, Mukhopadhyay, Subhas, Series Editor, Ning, Cun-Zheng, Series Editor, Nishida, Toyoaki, Series Editor, Oneto, Luca, Series Editor, Panigrahi, Bijaya Ketan, Series Editor, Pascucci, Federica, Series Editor, Qin, Yong, Series Editor, Seng, Gan Woon, Series Editor, Speidel, Joachim, Series Editor, Veiga, Germano, Series Editor, Wu, Haitao, Series Editor, Zamboni, Walter, Series Editor, Zhang, Junjie James, Series Editor, Suryadevara, Nagender Kumar, editor, George, Boby, editor, Jayasundera, Krishanthi P., editor, and Mukhopadhyay, Subhas Chandra, editor
- Published
- 2023
- Full Text
- View/download PDF
137. CdS-Based Photodetectors for Visible-UV Spectral Region
- Author
-
Saxena, Nupur, Kalsi, Tania, Kumar, Pragati, and Korotcenkov, Ghenadii, editor
- Published
- 2023
- Full Text
- View/download PDF
138. Effective Methods of Synthesis and Optimization of a Holographic Mask.
- Author
-
Chernik, V. V.
- Subjects
- *
HOLOGRAPHY , *INTEGRATED software , *LITHOGRAPHY - Abstract
Several statements of the problems of synthesis of a holographic mask in the form of optimization problems for quality of holographic images are presented. An effective algorithm for the synthesis of holographic masks based on FFT with the complexity O(NlnN), where N is the number of elements of the depicted object, is described. Based on this algorithm, a scalable software package has been developed and implemented that allows synthesizing holographic masks for various lithography applications, including the production of MEMS, MOEMS, and high-end chips. Experimental results are presented. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
139. Electrical Characteristics of Single Layer Graphene Ribbons in a Wide Temperature Range.
- Author
-
FATES, Rachid, REMMOUCHE, Riad, and BENKEDIDAH, Toufik
- Subjects
- *
GRAPHENE , *CHEMICAL vapor deposition , *RAMAN microscopy , *QUALITY control , *PHOTOLITHOGRAPHY , *GRAPHENE synthesis - Abstract
This paper provides electrical characterization of single layer graphene ribbon devices defined as back-gated graphene transistors. The two-terminal back-gated graphene ribbon devices were fabricated on a conventional Si substrate covered by a 90 nm-thick thermal SiO2. The chemical vapor deposition process was used for the graphene layer deposition and its quality was checked with optical microscopy, scanning electron microscopy and Raman spectroscopy. For the device fabrication, optical lithography was used for electrode patterns through a mask, and Ti/Au (10 nm/100 nm) metallic contacts were deposited by thermal evaporation. We report low and high field electrical measurements of several devices, under a controlled environment over a wide temperature range, from 77 to 300 K. At 77 K, the drain current decreases, i.e. the resistance of the graphene increases, and the nonlinearity is still present. The maximum influence of the temperature is reached at the charges neutrality point, and we observe that the temperature could influence the position of the charge neutrality point. This indicates that the carriers are thermally activated, which yields a least pronounced current with the increase of the back gate voltage. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
140. Dissolution Behavior of Fluoroalkylated Diazonaphthoquinone and Its Blends with Fluorinated Copolymers under UV Irradiation.
- Author
-
Kim, Gayoung, Kang, Sae-Eun, Kim, Doo Hong, Won, Jong-In, Ku, Yejin, Son, Jongchan, Lee, Jin-Kyun, and Jung, Byung Jun
- Subjects
- *
CARBOXYLIC acid derivatives , *FLUOROALKYL group , *WOLFF rearrangement , *COPOLYMER testing , *LIGHT emitting diodes , *IRRADIATION , *FLUOROPOLYMERS - Abstract
This article reports on the synthesis of materials containing both a fluoroalkyl group and a diazonaphthoquinone (DNQ) moiety as well as the fabrication of negative- and positive-tone stencil patterns. Additionally, the photoreaction mechanism that contributes to the pattern formation process is discussed, and the application of these materials is explored in the pixel-formation process in organic light-emitting diode (OLED) displays. Fluoroalkylated diazonaphthoquinone (RF2D1) was synthesized using chemically binding a DNQ unit, which can be converted into carboxylic acid derivatives having stronger polarity, with two fluorinated alkyl chains. The purified compound is found to be soluble in a nonpolar fluorous solvent and can be uniformly coated as a thin film. When the thin film of RF2D1 is exposed to 365 nm UV light, its solubility in a fluorous solvent decreases due to the Wolff rearrangement and subsequent hydrolysis of a ketene moiety. In contrast, when a mixture of RF2D1 and a hydrophobic, fluorinated copolymer is tested for the patterning process, the copolymer delays the conversion of the ketene intermediate to carboxylic acid, resulting in the dissolution of the exposed areas in the fluorous solvent. Finally, the applicability of these materials in micropatterning is demonstrated by adopting them in the orthogonal photolithography process to create pixels of OLEDs. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
141. Evaluation of the Basic Designs of a Micro Device that Provides Vibrational Stimulation to Cells.
- Author
-
Minami, Kazuyuki, Nakahara, Tasuku, and Sato, Katsuya
- Subjects
- *
THICK films , *OPTICAL microscopes , *THIN films , *MICROFLUIDIC devices , *MICROFABRICATION , *PHOTOLITHOGRAPHY - Abstract
It is known that the cells responds to external mechanical stimulations. Although the effectiveness of vibrational stimulation for the osteoanagenesis has been reported, the clarification of detailed mechanism for this phenomenon is insufficient. In this study, a micro device has been developed to evaluate the cell dynamics and responses to vibrations. The micro device has an array of moving micro stages which have transparent 5 µm thick thin film to enable them to observe the cell responses to vibrational stimulations by using an optical microscope. The moving micro stages are moved with a needle actuated by piezo actuator. Microfabrication processes, such as conventional photolithography, lift-off, and sacrificial layer etching, were used to fabricate the micro device. We designed two types of concepts for supporting and vibrating moving micro stages. Prototypes were fabricated and evaluated under vibrational conditions. Proposed design with the moving micro stages vibrating perpendicular to the beams generated simple linear oscillation without rotation. It was verified that the fabricated micro stage could be vibrated at the acceleration amplitude of 0.1 and 0.2 G with frequency 15, 45, and 90 Hz. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
142. Optimization of Layer Transfer and Photolithography for Device Integration of 2D-TMDC.
- Author
-
Ghiami, Amir, Sun, Tianyishan, Fiadziushkin, Hleb, Tang, Songyao, Grundmann, Annika, Heuken, Michael, Kalisch, Holger, and Vescan, Andrei
- Subjects
PHOTOLITHOGRAPHY ,TECHNOLOGY transfer ,CHEMICAL vapor deposition ,FIELD-effect transistors ,TRANSITION metals ,ELECTRONIC equipment - Abstract
Extensive research into two-dimensional transition metal dichalcogenides (2D-TMDCs) over the past decade has paved the way for the development of (opto)electronic devices with enhanced performance and novel capabilities. To realize devices based on 2D-TMDC layers, compatible and optimized technologies such as layer transfer and photolithography are required. Challenges arise due to the ultrathin, surface-only nature of 2D layers with weak van der Waals adhesion to their substrate. This might potentially compromise their integrity during transfer and photolithography processes, in which prolonged exposure at usually high temperature to reactive chemicals and strong solvents are conventionally used. In this paper, we show that employing a dry-transfer technique based on thermal release tape (TRT) as an alternative to wet processes based on KOH solution better preserves layer quality. In the succeeding device fabrication process, an optimized photolithography as a cost-effective and widely available method for device patterning is utilized. The introduced photolithography protocol presents a near-perfect yield and reproducibility. To validate our optimized techniques, we fabricated field-effect transistors (FETs) using 2D-MoS
2 layers from metal–organic chemical vapor deposition (MOCVD), wet- and dry-transferred onto SiO2 /Si substrates. Our findings mark a significant stride towards the efficient and industry-compatible utilization of 2D van der Waals materials in device fabrication. [ABSTRACT FROM AUTHOR]- Published
- 2023
- Full Text
- View/download PDF
143. Mask and plate: a scalable front metallization with low-cost potential for III–V-based tandem solar cells enabling 31.6 % conversion efficiency.
- Author
-
Schube, Jörg, Höhn, Oliver, Schygulla, Patrick, Müller, Ralph, Jahn, Mike, Mikolasch, Gabriele, Steiner, Marc, Predan, Felix, Bartsch, Jonas, Dimroth, Frank, Clement, Florian, and Keding, Roman
- Subjects
- *
SOLAR cells , *PHOTOVOLTAIC power systems , *MASS production , *PHOTOVOLTAIC power generation , *ELECTROPLATING , *NICKEL-plating , *PHOTOLITHOGRAPHY , *FLAME - Abstract
Low-cost approaches for mass production of III–V-based photovoltaics are highly desired today. For the first time, this work presents industrially relevant mask and plate for front metallization of III–V-based solar cells replacing expensive photolithography. Metal contacts are fabricated by nickel (Ni) electroplating directly onto the solar cell's front using a precisely structured mask. Inkjet printing offers low-cost and high-precision processing for application of an appropriate plating resist. It covers the solar cell's front side with narrow openings for subsequent electroplating. The width of the resulting Ni contacts is as low as (10.5 ± 0.8) µm with sharp edges and homogenous shape. The 4 cm2-sized champion III–V-on-silicon triple-junction solar cell with mask and plate front metallization reaches a certified conversion efficiency η of (31.6 ± 1.1) % (AM1.5 g spectrum). It performs just as well as the reference sample with photolithography-structured evaporated front contacts, which reaches η = (31.4 ± 1.1) %. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
144. NIR/Visible Light Double Beam Synergistically Enhanced the Emission of Triplet‐Triplet Annihilation Upconversion via Thermal Lensing Effect.
- Author
-
Zhu, Sijia, Wei, Lingling, Sun, Yujiao, Yang, Cheng, and Wu, Wanhua
- Subjects
- *
PHOTON upconversion , *THERMAL lensing , *VISIBLE spectra , *PHOTOTHERMAL effect , *LASER beams , *POWER density , *PHOTOLITHOGRAPHY - Abstract
Stimuli‐responsive triplet‐triplet annihilation upcoversion (TTA‐UC) systems show additional application potentials in biological and environmental sensing besides the typical applications, such as improving the efficiency of solar‐powered devices. This work presents a double‐beam synergistically controlled TTA‐UC system that can increase the UC emission intensity by more than 6‐fold with the aid of the thermal lensing effect of an near‐infrared (NIR)‐absorbing solution in a double‐beam coupling system of visible/NIR light. The collimation effect of a divergent 532 nm laser beam, achieved through the concave lens effect of a Pdpc(OBu)8 solution under NIR irradiation, significantly increases the excitation power density of the UC system, which is responsible for the increment of UC emission intensity. The ON/OFF ratio of the TTA‐UC switching can be conveniently regulated by the output power of either the 532 nm laser or 721 nm laser, as well as the concentration and compounds of the photothermal lens effect solution. The visible/NIR stimuli‐responsive TTA‐UC system is applied to photolithography to increase both the speed and depth of the photo printing. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
145. Integrated Optics: Platforms and Fabrication Methods.
- Author
-
Butt, Muhammad A.
- Subjects
- *
INTEGRATED optics , *OPTICAL interconnects , *INTEGRATED circuits , *ELECTRONIC circuits , *OPTICAL devices , *OPTICAL information processing , *ELECTRON beam lithography , *NANOIMPRINT lithography - Abstract
Definition: Integrated optics is a field of study and technology that focuses on the design, fabrication, and application of optical devices and systems using integrated circuit technology. It involves the integration of various optical components, such as waveguides, couplers, modulators, detectors, and lasers, into a single substrate. One of the key advantages of integrated optics is its compatibility with electronic integrated circuits. This compatibility enables seamless integration of optical and electronic functionalities onto the same chip, allowing efficient data transfer between optical and electronic domains. This synergy is crucial for applications such as optical interconnects in high-speed communication systems, optical sensing interfaces, and optoelectronic integrated circuits. This entry presents a brief study on some of the widely used and commercially available optical platforms and fabrication methods that can be used to create photonic integrated circuits. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
146. 电感耦合等离子刻蚀法加工石英晶体 谐振器工艺研究.
- Author
-
陈静白, 张新海, and 刘 峰
- Abstract
Copyright of Bulletin of the Chinese Ceramic Society is the property of Bulletin of the Chinese Ceramic Society Editorial Office and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use. This abstract may be abridged. No warranty is given about the accuracy of the copy. Users should refer to the original published version of the material for the full abstract. (Copyright applies to all Abstracts.)
- Published
- 2023
147. Substrate‐Free Transfer of Large‐Area Ultra‐Thin Electronics.
- Author
-
Oliveira, Hugo De Souza, Catania, Federica, Lanthaler, Albert Heinrich, Carrasco‐Pena, Alejandro, Cantarella, Giuseppe, and Münzenrieder, Niko
- Subjects
CHEMICAL properties ,FLEXIBLE electronics ,PHOTOLITHOGRAPHY - Abstract
Innovation in materials and technologies has promoted the fabrication of thin‐film electronics on substrates previously considered incompatible because of their chemical or mechanical properties. Indeed, conventional fabrication processes, typically based on photolithography, involve solvents and acids that might harm fragile or exotic substrates. In this context, transfer techniques define a route to overcome the issues related to the nature of the substrate by using supportive carriers in the electronics stack that mitigate or avoid any damages during the fabrication process. Here, a substrate‐free approach is presented for the transfer of ultra‐thin electronics (<150nm‐thick) where no additional layer besides the electronics one remains on the final substrate. Devices are transferred on several surfaces showing good adhesion and an average performance variation of 27%. Furthermore, a sensor bent to a radius of 15.25µm, shows variation in performance of 5%. The technique can also be sequentially repeated for the fabrication of stacked electronics, enabling the development of ultra‐thin devices, compliant on unconventional surfaces. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
148. Optical and Soft X-ray Microlithography at the Turn of the Century.
- Author
-
Artyukov, I. A.
- Abstract
A review is presented of the development of methods of industrial excimer laser photolithography and soft X-ray projection lithography at the end of the 20th century and the beginning of the 21st century. The contribution of N.G. Basov and his colleagues at FIAN and MEPhI to the development of this direction is revealed. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
149. Green deep‐UV photoresist based on chitosan for microelectronics.
- Author
-
Sysova, Olha, Durin, Paule, Gablin, Corinne, Léonard, Didier, Téolis, Alexandre, Trombotto, Stéphane, Delair, Thierry, Berling, Dominique, Servin, Isabelle, Tiron, Raluca, Bazin, Arnaud, Leclercq, Jean‐Louis, Chevolot, Yann, and Soppera, Olivier
- Subjects
PHOTORESISTS ,CHAIN scission ,CHITOSAN ,MICROELECTRONICS ,PHOTOLITHOGRAPHY - Abstract
Photolithography is a core part in microelectronic processes. This technological step implies the use of numerous hazardous carcinogenic compounds in the formulations, the use of solvents for processing synthetic polymers to obtain micro and nanostructures. We proposed the use of chitosan‐based biosourced water‐soluble resins to significantly reduce the environmental impact of the photolithography step, for 193 nm photolithography. We show in this report that chitosan‐based photoresist is suitable for microelectronic application, and in particular, we could significantly improve photosensitivity by adding a water‐soluble photoacid generator (PAG). UV–visible and Fourier transform infrared‐attenuated total reflectance characterizations reveal an increase of the macromolecular chain scission kinetics in the presence of PAG. Moreover, the local decrease of the pH favors the solubility of chitosan in irradiated areas. Finally, the photolithography tests demonstrate that the dose to clear and the optimal dose for photopatterning are divided by a factor of two. Moreover, the physical etching transfer tests show that the presence of PAG does not decrease the transfer performance, making these aqueous‐based formulated resins still more adapted for the fabrication of microelectronic devices. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
150. Valley photonic crystal waveguides fabricated with CMOS-compatible process.
- Author
-
Yamaguchi, Takuto, Yoshimi, Hironobu, Seki, Miyoshi, Ohtsuka, Minoru, Yokoyama, Nobuyuki, Ota, Yasutomo, Okano, Makoto, and Iwamoto, Satoshi
- Abstract
Valley photonic crystal (VPhC) waveguides have attracted much attention because of their ability to enable robust light propagation against sharp bends. However, their demonstration using a CMOS-compatible process suitable for mass production has not yet been reported at the telecom wavelengths. Here, by tailoring the photomask to suppress the optical proximity effect, VPhC patterns comprising equilateral triangular holes were successfully fabricated using photolithography. We optically characterized the fabricated VPhC devices using microscopic optics with NIR imaging. For comparison, we also fabricated and characterized line-defect W1 PhC waveguides, in which the transmission intensities decreased at some regions within the operating bandwidth when sharp turns were introduced into the waveguide. In contrast, the developed VPhC waveguides can robustly propagate light around the C-band telecommunication wavelengths, even in the presence of sharp bends. Our results highlight the potential of VPhC waveguides as an interconnection technology in silicon topological photonic ICs. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.