Search

Your search keyword '"Horiguchi, N."' showing total 599 results

Search Constraints

Start Over You searched for: Author "Horiguchi, N." Remove constraint Author: "Horiguchi, N."
599 results on '"Horiguchi, N."'

Search Results

102. The Complementary FET (CFET) for CMOS scaling beyond N3

103. An In-depth Study of High-Performing Strained Germanium Nanowires pFETs

104. Si/SiGe superlattice I/O finFETs in a vertically-stacked Gate-All-Around horizontal Nanowire Technology

107. Study of the Intrinsic Limitations of the Contact Resistance of Metal/Semiconductor Interfaces through Atomistic Simulations

109. Comprehensive study of Ga activation in Si, SiGe and Ge with 5 × 10−10 Ω·cm2 contact resistivity achieved on Ga doped Ge using nanosecond laser activation

111. Vertically stacked gate-all-around Si nanowire transistors: Key Process Optimizations and Ring Oscillator Demonstration

113. CMOS Integration of Thermally Stable Diffusion and Gate Replacement (D&GR) High-k/Metal Gate Stacks in DRAM Periphery Transistors

114. Single and Double Diffusion Breaks in 14nm FinFET and Beyond

119. Sub-10−9 Ω·cm2 contact resistivity on p-SiGe achieved by Ga doping and nanosecond laser activation

123. Ultralow resistive wrap around contact to scaled FinFET devices by using ALD-Ti contact metal

125. Latchup in bulk FinFET technology

126. Gate stack thermal stability and PBTI reliability challenges for 3D sequential integration: Demonstration of a suitable gate stack for top and bottom tier nMOS

127. Efficient physical defect model applied to PBTI in high-κ stacks

130. Processing Technologies for Advanced Ge Devices

132. Improvement of the CMOS characteristics of bulk Si FinFETs by high temperature ion implantation

133. Extreme scaling enabled by 5 tracks cells: Holistic design-device co-optimization for FinFETs and lateral nanowires

134. Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates

135. Heterostructure at CMOS source/drain: Contributor or alleviator to the high access resistance problem?

136. Statistical model of the NBTI-induced threshold voltage, subthreshold swing, and transconductance degradations in advanced p-FinFETs

140. Performance Comparison of ${n}$ –Type Si Nanowires, Nanosheets, and FinFETs by MC Device Simulation.

142. Highly scalable bulk FinFET Devices with Multi-VT options by conductive metal gate stack tuning for the 10-nm node and beyond

144. Towards high performance sub-10nm finW bulk FinFET technology

145. Electrical Characteristics of p-Type Bulk Si Fin Field-Effect Transistor Using Solid-Source Doping With 1-nm Phosphosilicate Glass

148. Ultralow-resistivity CMOS contact scheme with pre-contact amorphization plus Ti (germano-)silicidation

149. Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates

150. Demonstration of an InGaAs gate stack with sufficient PBTI reliability by thermal budget optimization, nitridation, high-k material choice, and interface dipole

Catalog

Books, media, physical & digital resources