150 results on '"Bert Brijs"'
Search Results
102. Physcial characterization of ultrathin high k dielectrics
- Author
-
O. T. Conard, O. Richard, Matty Caymax, Hugo Bender, S. Van Elshocht, J. Petry, Annelies Delabie, Wilfried Vandervorst, S. De Gendt, and Bert Brijs
- Subjects
Materials science ,X-ray photoelectron spectroscopy ,Silicon ,chemistry ,Low-energy ion scattering ,Ellipsometry ,Physics ,Analytical chemistry ,chemistry.chemical_element ,Metalorganic vapour phase epitaxy ,Dielectric ,Rutherford backscattering spectrometry ,High-κ dielectric - Abstract
Targeting very thin equivalent oxides (2, as silicate or mixed with Al2O3. In some cases nitrogen is added to improve the high-temperature stability. Depending on the deposition conditions ALD as well as MOCVD show serious deficiencies in terms of film closure and material density for ultra thin (
- Published
- 2003
103. Critical metrology for ultrathin high k dielectrics
- Author
-
Wilfried Vandervorst, Thierry Conard, Hugo Bender, J. Petry, Montserrat Nafria, Olivier Richard, Bert Brijs, and X. Blasco
- Subjects
Materials science ,Silicon ,business.industry ,Analytical chemistry ,chemistry.chemical_element ,Rutherford backscattering spectrometry ,Elastic recoil detection ,Low-energy ion scattering ,X-ray photoelectron spectroscopy ,chemistry ,Ellipsometry ,Optoelectronics ,Electrical measurements ,business ,High-κ dielectric - Abstract
Targeting very thin equivalent oxides (
- Published
- 2003
- Full Text
- View/download PDF
104. High-k Materials for Advanced Gate Stack Dielectrics: a Comparison of ALCVD and MOCVD as Deposition Technologies
- Author
-
Lars-Ake Ragnarsson, Thierry Conard, Matty Caymax, S. Van Elshocht, Wilman Tsai, L. Date, Marc Heyns, S. DeGendt, D. Pique, Bert Brijs, Annelies Delabie, Hugo Bender, B. Onsia, O. Richard, J. W. Maes, E. Young, Chao Zhao, Wilfried Vandervorst, and Yasuhiro Shimamoto
- Subjects
Materials science ,law ,Impurity ,Physical vapor deposition ,Gate dielectric ,Transistor ,Dielectric ,Metalorganic vapour phase epitaxy ,Engineering physics ,Leakage (electronics) ,law.invention ,High-κ dielectric - Abstract
In the quest for ever smaller transistor dimensions, the well-known and reliable SiO2 gate dielectric material needs to be replaced by alternatives whith higher dielectric constants in order to reduce the gate leakage. Candidate materials are metal oxides such as HfO2. Themost promising deposition techniques, next to Physical Vapor Deposition, appear to be ALCVD and MOCVD. In this paper, we compare the most important characteristics of layers from both proces techniques and assess their relevance to gate stack applications: density, crystallisation, impurities, growth mechanism, interfacial layers, dielectric constant, mobility. Although we find some minor differences, layers from both techniques mostly show striking similarities in many aspects, both positive and negative.
- Published
- 2003
- Full Text
- View/download PDF
105. Physical characterisation of high-k gate stacks deposited on HF-last surfaces
- Author
-
J. Kluth, J.D. Chen, Bert Brijs, S. De Gendt, Christophe Detavernier, J. Petry, Chao Zhao, O. Richard, H. Nohira, W Besling, Matty Caymax, J.W. Maes, Thierry Conard, Hugo Bender, Wilman Tsai, and W. Vandervorst
- Subjects
X-ray reflectivity ,Materials science ,Transmission electron microscopy ,Consistency (statistics) ,Analytical chemistry ,Gate stack ,Film density ,Chemical vapor deposition ,Deposition (law) ,High-κ dielectric - Abstract
By the combination of the analysis results of different complementary analysis techniques a methodology is established for the consistent determination of the growth curves for the ALCVD deposition of ZrO/sub 2/ and Al/sub 2/O/sub 3/ on HF-last surfaces. Consistency between all data requires the assumption of a lower film density than the bulk one of the different materials. The lower density is also determined independently by X-ray reflectivity (XRR) and is consistent with the thickness as determined by cross-section TEM.
- Published
- 2002
- Full Text
- View/download PDF
106. Growth and Physical Properties of MOCVD-Deposited Hafnium Oxide Films and Their Properties on Silicon
- Author
-
Thomas Witters, S. Van Elshocht, Chao Zhao, Wilfried Vandervorst, Marc Heyns, R.J. Carter, Hugo Bender, S. De Gendt, D. Pique, J. Pétry, Bert Brijs, L. Date, Martine Claes, J. Kluth, Thierry Conard, Matty Caymax, and Olivier Richard
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,Equivalent oxide thickness ,Hafnium oxide ,Hafnium ,Metal ,chemistry ,Chemical engineering ,visual_art ,visual_art.visual_art_medium ,Metalorganic vapour phase epitaxy ,Scaling ,Layer (electronics) - Abstract
This paper discusses metal organic chemical vapor deposited (MOCVD) HfO2 layers using tetrakis(diethylamido)hafnium (TDEAH) as precursor. We have studied the influence of the starting surface and deposition temperature on the growth kinetics and physical properties of the HfO2 layers. Important characteristics such as crystalline state, density, and organic contamination in the layers were found to be dependent on these parameters.Typical for this deposition process is the formation of an interfacial layer underneath the high-k layer. Its composition and thickness, affecting scaling of the equivalent oxide thickness, are shown to be closely related to the HfO2 process parameters mentioned above.Finally, we will show electrical results for HfO2/polySi gate stacks indicating the effect for deposition temperature.
- Published
- 2002
- Full Text
- View/download PDF
107. ALD HfO2 surface preparation study
- Author
-
J. W. Maes, Martin Green, Thierry Conard, P. Bajolet, Bert Brijs, Wilman Tsai, O. Richard, E. Cartier, Chao Zhao, M.M. Heyns, Wilfried Vandervorst, S. De Gendt, Matty Caymax, and Annelies Delabie
- Subjects
chemistry.chemical_compound ,Materials science ,Plasma surface ,chemistry ,Thermal oxide ,Plasma exposure ,Chemical engineering ,Surface preparation ,Nucleation ,Oxide ,Island growth ,Deposition (law) - Abstract
A fast screening technique is used to study the ALD HfO2 growth behavior on different types of starting surfaces (H-passivated, chemical oxide, thermal oxide). The amount of Hf deposited at the early stages of the ALD process is measured by means of RBS. The HfO2 film quality on different starting surfaces is examined with ToFSIMS. The results suggest an island growth mechanism on a H-terminated starting surface: nucleation, development of separated nuclei and flattening. It is shown that ALD growth starts faster if –OH groups are present on the surface. A remote H2 plasma surface pre-treatment at room temperature is also studied. The influence of the plasma exposure time and number of water pulses prior to HfO2 deposition was examined. The H2 plasma surface pre-treatment can be considered as a promising candidate for sub 1 nm EOT performance.
- Published
- 2002
- Full Text
- View/download PDF
108. Characterisation of low energy antimony (2-5 keV) implantation into silicon
- Author
-
Paul Bailey, Tcq Noakes, E.J.H. Collart, Bert Brijs, D Kirkwood, J. A. van den Berg, Wilfried Vandervorst, and M. Werner
- Subjects
Secondary ion mass spectrometry ,Ion implantation ,Ion beam deposition ,Materials science ,Silicon ,chemistry ,Antimony ,Doping ,Analytical chemistry ,chemistry.chemical_element ,Sheet resistance ,Ion - Abstract
Low energy Antimony implants (2 and 5 keV) have been characterised for their potential use in n-type ultra-shallow junction formation. Depth profiles using secondary ion mass spectrometry (SIMS) were combined with electrical sheet resistance data and damage distribution data from medium energy ion scattering (MEIS).
- Published
- 2002
- Full Text
- View/download PDF
109. Profile Changes and Self-sputtering during Low Energy Ion Implantation
- Author
-
D Kirkwood, E.J.H. Collart, Wilfried Vandervorst, G Mathot, Guy Terwagne, Richard Lindsay, Tom Janssens, and Bert Brijs
- Subjects
Ion implantation ,Materials science ,Dopant ,Sputtering ,Nuclear reaction analysis ,Analytical chemistry ,Irradiation ,Scaling ,Sheet resistance ,Ion - Abstract
Continued device scaling requires the formation of ever-shallower junctions with low resistance. A desirable option to form these junctions is still the use of conventional ion implantation. However in order to meet the junction depth/sheet resistance goals, a strong reduction in implant energy and increase in implant dose is required. Earlier work for B and BF2-implants, has suggested that during low energy ion implantation self-sputtering may become an important factor influencing/limiting the retained dose. The basic mechanism for the selfsputtering with increasing dose is the increasing dopant concentration at the surface leading to an increased probability for re-emission by the sputtering process. Simple models describing ion retention in combination with sputtering are based on this concept and indeed predict a selfsputtering process limiting the final retained dose. Unfortunately the theoretical calculations only predict a significant sputtering at doses >51016 at/cm2 whereas experimental results already show a limit in retained dose at 5 1015at/cm2.In order to confirm the experimental data, low energy B, BF2, As and Sb implants have been made. Dose retention was monitored using nuclear reaction analysis and RBS whereby details of the dopant profile (redistribution) were studied using high resolution SIMS. For As and Sb no self-sputtering up to a dose of 1 1016at/cm2 can be found. For B a small dose loss (2 a 20 % dose loss is observed. None of the SIMS profiles provide sufficient evidence for enhanced B-surface migration as required to explain the enhanced self-sputtering. On the other hand such a surface migration is reminiscent of the observations in SIMS whereby also an enhanced mobility of B during ion irradiation is required to explain the anomalous B-surface peak in many SIMS profiles. Based on the SIMS profiles a component sputter yield for B can be derived which is significantly higher than the matrix sputter yield suggesting a weak bonding of the segregated species leading to a reduced surface binding energy and thus enhanced sputtering yield.
- Published
- 2002
- Full Text
- View/download PDF
110. Channeling detection using position sensitive detectors
- Author
-
Hugo Pattyn, P. Hendrickx, W. Vandervorst, Guido Langouche, J. De Wachter, and Bert Brijs
- Subjects
Physics ,Nuclear and High Energy Physics ,Optics ,genetic structures ,Position (vector) ,business.industry ,Detector ,Time saving ,business ,Instrumentation ,Computer Science::Information Theory - Abstract
A channeling detection method based on the simultaneous measurement of several angular scans through the use of a position sensitive detector is presented. With respect to conventional channeling, it is both time saving and much more visual.
- Published
- 1993
- Full Text
- View/download PDF
111. Advanced RBS analysis of thin films in micro-electronics
- Author
-
Jeroen Deleu, Bert Brijs, Kaoru Nakajima, Cedric Huyghebaert, Kuniko Kimura, Wilfried Vandervorst, and Sophie Nauwelaerts
- Subjects
Materials science ,Spectrometer ,business.industry ,Instrumentation ,Resolution (electron density) ,Detector ,Analytical chemistry ,Microelectronics ,Optoelectronics ,Thin film ,business ,Rutherford backscattering spectrometry ,Characterization (materials science) - Abstract
Rutherford Backscattering Spectrometry (RBS) is well known for quantitative compositional analysis of thin films. As the semiconductor industry heads towards shrinking device dimensions and new materials, there is a need for accurate and reliable characterization of very thin films. With a careful selection of RBS analysis conditions and instrumentation, a depth resolution down to 1 nm can be obtained. Mainly the reduction in analysis energy and improved detector resolution contribute to this enhancement. At the same time it is demonstrated that the sensitivity of RBS improves to below the 1% level. The effect of reduction in analysis energy will be illustrated in the study on the segregation process of Cu on a Al(Cu) surface. Furthermore, it will be demonstrated that the use of dedicated spectrometers to improve detector resolution leads to extreme depth resolutions allowing the analysis of SiGe layers less than 10 nm thick and the analysis of thin oxynitrides which are only 2.5 nm thick.
- Published
- 2001
- Full Text
- View/download PDF
112. Epitaxial CoSi2 formation by a Cr or Mo interlayer
- Author
-
Bert Brijs, C Detavernier, R. L. Van Meirhaeghe, Felix Cardon, Karen Maex, and Wilfried Vandervorst
- Subjects
Crystallography ,Materials science ,Nucleation ,Grain boundary ,Crystallite ,Epitaxy ,Microbiology ,Layer (electronics) - Abstract
We have studied CoSi2 formation in the presence of a Cr or Mo interlayer or capping layer. We shall show that, contrary to what was previously reported, Cr and Mo may be used as interlayers to grow epitaxial CoSi2. However, unlike for Ti, the thickness of the interlayer is very important. If the Cr or Mo interlayer is too thick (> 5 nm), polycrystalline CrSi2 or MoSi2 are formed first and epitaxial growth of CoSi2 becomes impossible. However, both XRD and random/channeling RBS results indicate that for a 2-3 nm interlayer of Cr or Mo, CoSi2 forms epitaxially on Si(100). For thinner interlayers, there is a preferential (220) and (400) orientation. This can be explained by the presence of Cr or Mo on the CoSi grain boundaries, which will affect the heterogeneous nucleation of CoSi2.
- Published
- 2000
- Full Text
- View/download PDF
113. Advanced capabilities and applications of a sputter-RBS system
- Author
-
Jeroen Deleu, Bert Brijs, Gerald Beyer, and Wilfried Vandervorst
- Subjects
Adsorption ,Argon ,Ion implantation ,Hydrogen ,Sputtering ,Chemistry ,Analytical chemistry ,chemistry.chemical_element ,Contamination ,Current density ,Ion - Abstract
In previous experiments, sputter-RBS1 has proven to be an ideal tool to study the interaction of low energy ions. This contribution employs the same methodology to identify surface contamination induced during sputtering and to the determine absolute sputter yields. In the first experiment ERDA analysis was used to study the evolution of Hydrogen contamination during sputter-RBS experiments. Since the determination of Hydrogen concentration in very thin near surface layers is frequently limited by the presence of a strong surface peak of Hydrogen originating from adsorbed contamination of the residual vacuum, removal of this contamination would increase the sensitivity for Hydrogen detection in the near sub surface drastically. Therefore low energy (12 keV) Argon sputtering was used to remove the Hydrogen surface peak. However enhanced Hydrogen adsorption was observed related to the Ar dose. This experiment shows that severe vacuum conditions and the use of high current densities/sputter yields are a prer...
- Published
- 1999
- Full Text
- View/download PDF
114. Comparative Growth Kinetics Of Sige In A Commercial Reduced Pressure Chemical Vapour Deposition Epi Reactor And Anomalies During Growth of Thin Si Layers on Sige
- Author
-
Matity Caymax, Kaoru Nakajima, Roger Loo, Kenji Kimura, Bert Brijs, D. J. Howard, and Wilfried Vandervorst
- Subjects
Materials science ,business.industry ,Growth kinetics ,Dichlorosilane ,Nanotechnology ,Chemical vapor deposition ,Epitaxy ,Silane ,chemistry.chemical_compound ,chemistry ,Optoelectronics ,Growth rate ,Thin film ,business ,Layer (electronics) - Abstract
A short discussion about growth kinetics of Si and Si1-xGex, epitaxial layers in a reduced pressure CVD reactor using both dichlorosilane and silane is presented. Through careful observations of the growth of very thin Si layers on SiGe, an anomaly in the Si growth ratewas detected such that the thinner the Si layer, the higher the Si growth rate on SiGe. Due to the difficult nature of very thin film characterization, several analysis techniques were used. A possible explanation based on TEM observations is put forward.
- Published
- 1998
- Full Text
- View/download PDF
115. Segregation of Cu on Etched and Non-Etched Al(Cu) Surface
- Author
-
Ludo Froyen, Werner Boullart, Thierry Conard, Hua Li, M. R. Baklanov, Bert Brijs, Karen Maex, and Wilfried Vandervorst
- Subjects
Metal ,chemistry.chemical_compound ,Plasma etching ,Materials science ,chemistry ,X-ray photoelectron spectroscopy ,Annealing (metallurgy) ,visual_art ,visual_art.visual_art_medium ,Oxide ,Analytical chemistry ,Rutherford backscattering spectrometry ,Lower energy - Abstract
In this paper, we have studied the segregation phenomenon of Cu on the surfaces of patterned lines, dry-etched films and non-etched films, by using X-ray photoelectron spectroscopy and lower energy Rutherford Backscattering Spectrometry. Significant enrichment of Cu is found on the sidewall of the lines. Annealing at 350°C and above cause the disappearance of this enrichment. Origin and evolution of this Cu enrichment have been investigated on films taken out from different steps of the etching process. It has been found that most of the Cu products induced by the plasma etching are CuCl and CuCl2 and they are removed mostly from the top Al oxide layer by the strip process. On the interface area between Al and the native oxide, considerable quantities of etched induced Cu are retained. This Cu is identified to be mainly metallic Cu. Different from the mechanism explained above, thermal annealing can also cause Cu segregation. We have found that Cu atoms diffuse into the native Al oxide where they form Cu2O.
- Published
- 1998
- Full Text
- View/download PDF
116. ARIBA, an all round ion beam acquisition program
- Author
-
W. De Coster, W. Vandervorst, Bert Brijs, D. Wils, and J. Deleu
- Subjects
Ethernet ,Engineering ,Ion beam analysis ,Mode (computer interface) ,Data acquisition ,Ion beam ,Computer program ,business.industry ,IBM PC compatible ,Interface (computing) ,Electrical engineering ,business - Abstract
ARIBA is a computer program for data acquisition and experimental control of the IBA (Ion Beam Analysis) facility at IMEC. The program is written in C and runs on an IBM PC under WIN/OS2. Data acquisition is performed by two Acquisition Interface Modules (AIMS) with a built-in ethernet (LAN) interface. Peripheral devices (goniometer, current integrator, beam shutters) are IEEE controlled and are optically isolated from the computer. The package has been designed to handle all Pulse Height Analysis (PHA) acquisition mode experiments (RBS, angular channeling, autochanneling,….) and LIST mode acquisition experiments (TOF-ERD,….).
- Published
- 1997
- Full Text
- View/download PDF
117. Compositional depth profiling of TaCN thin films
- Author
-
Sven Van Elshocht, Thierry Conard, Bert Brijs, Johan Meersschaut, Thomas Witters, Wilfried Vandervorst, Jorge A. Kittl, Simon Burgess, Christoph Adelmann, A. Franquet, and Frans Munnik
- Subjects
Elastic recoil detection ,Auger electron spectroscopy ,X-ray photoelectron spectroscopy ,Sputtering ,Chemistry ,Analytical chemistry ,Surfaces and Interfaces ,Sputter deposition ,Thin film ,Condensed Matter Physics ,Mass spectrometry ,Electron spectroscopy ,Surfaces, Coatings and Films - Abstract
The composition profiling of thin TaCN films was studied. For the composition profile determination using x-ray photoemission spectrometry (XPS) in combination with Ar sputtering, preferential sputtering effects of N with respect to Ta and C were found to lead to inaccurate elemental concentrations. Sputter yield calculations for the given experimental conditions allowed for the correction of a part of the error, leading to fair accuracy by reference-free measurements. Further improvement of the accuracy was demonstrated by the calibration of the XPS compositions against elastic recoil detection analysis (ERDA) results. For Auger electron spectrometry (AES) in combination with Ar sputtering, accurate results required the calibration against ERDA. Both XPS and AES allowed for a reliable and accurate determination of the compositional profiles of TaCN-based thin films after calibration. Time-of-flight secondary-ion mass spectrometry was also used to assess the composition of the TaCN films. However, the analysis was hampered by large matrix effects due to small unintentional oxygen contents in the films. Energy-dispersive x-ray spectrometry is also discussed, and it is shown that an accurate reference-free measurement of the average film concentration can be achieved.
- Published
- 2012
- Full Text
- View/download PDF
118. Process study of gadolinium aluminate atomic layer deposition fromthegadolinium tris-di-isopropylacetamidinate precursor
- Author
-
Hilde Tielens, Bert Brijs, Christoph Adelmann, A. Franquet, and Leonard Rodriguez
- Subjects
Materials science ,Aluminate ,Gadolinium ,Inorganic chemistry ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Amorphous solid ,Secondary ion mass spectrometry ,chemistry.chemical_compound ,Atomic layer deposition ,chemistry ,Impurity ,Trimethylaluminium ,Stoichiometry - Abstract
The growth of gadolinium aluminate films by atomic layer deposition from gadolinium tris-di-isopropylacetamidinate and trimethylaluminium was studied. The consequences of using water versus ozone as the oxidant were studied. The effects of pulse lengths, metal source ratios, and temperature were noted. Depositing two cycles of gadolinium oxide per cycle of aluminum oxide was found to yield films with a stiochiometry of Gd1.04AlO3 and a growth rate of 2.2 A in aggregate for each set of gadolinium and aluminum cycles. The deposition rate was found to be stable between 210 and 325 °C. The use of ozone instead of water as the oxygen source was found to reduce the film uniformity. The densities ranged from 3 to 6 g/cm3 depending on the Gd content of the films. The impurity contamination of the films was measured by secondary ion mass spectrometry and infrared absorption and found consistent with carboxylated species. Theextracted bandgap for the Gd1.04AlO3 films was 5.8 eV. The films were amorphous as deposited.
- Published
- 2012
- Full Text
- View/download PDF
119. Degradation of 248 nm Deep UV Photoresist by Ion Implantation
- Author
-
Guy Vereecke, Alexis Franquet, D. A. P Nguyen, Marc Heyns, Tatjana N. Parac-Vogt, Paul W. Mertens, Andre Stesmans, Rita Vos, Diana Tsvetanova, Mihaela Jivanescu, Bert Brijs, Francesca Clemente, Kris Vanstreels, D. Radisic, and Thierry Conard
- Subjects
Materials science ,Dopant ,Renewable Energy, Sustainability and the Environment ,Inorganic chemistry ,Substrate (chemistry) ,Photoresist ,Condensed Matter Physics ,Photochemistry ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ion implantation ,Resist ,Amorphous carbon ,Materials Chemistry ,Electrochemistry ,Dehydrogenation ,Wafer - Abstract
Wet processes are gaining a renewed interest for removal of high dose ion implanted photoresist (II-PR) in front-end-of-line semiconductor manufacturing because of their excellent selectivity towards the wafer substrate and gate materials. The selection of wet chemistries is supported by an insight into the resist degradation by ion implantation. In this work, different analytical techniques have been applied for in-depth characterization of the chemical changes in 248 nm DUV PR after arsenic implantation. A radical mechanism of resist degradation is proposed involving cross-linking and chain scission reactions. The cross-linking of the resist is dominant especially for high doses and energies. It leads to significant depletion of hydrogen and formation of carbon macroradicals that recombine to form C-C cross-linked crust. Moreover, formation of ab-unsaturated ketonic and/or quinonoid structures by cross-linking reactions is suggested. In addition, the dopant species may provide rigid points in the PR matrix by chemical bonding with the resist. For higher doses and energies further dehydrogenation occurs, which leads to formation of triple bonds in the crust. Different p-conjugated structures are formed in the crust by cross-linking and dehydrogenation reactions. No presence of amorphous carbon in the crust is revealed.
- Published
- 2011
- Full Text
- View/download PDF
120. Introducing Lanthanide Aluminates as Dielectrics for Nonvolatile Memory Applications: A Material Scientist's View
- Author
-
Christoph Adelmann, Johan Swerts, Olivier Richard, Thierry Conard, Valeri V. Afanas'ev, Laurent Breuil, Karl Opsomer, Antonio Cacciato, Bert Brijs, Hilde Tielens, Geoffrey Pourtois, Hugo Bender, Christophe Detavernier, Malgorzata Jurczak, S. Van Elshocht, and Jorge A. Kittl
- Abstract
not Available.
- Published
- 2010
- Full Text
- View/download PDF
121. MOCVD of NiO Thin Films Using Ni(dmamb)2
- Author
-
J. Meersschaut, M. Toeller, Marc Schaekers, X.P. Wang, L. Goux, B. Govoreanu, Dirk J. Wouters, Malgorzata Jurczak, L. Altamime, Hugo Bender, T. Conard, A. Franquet, Bert Brijs, S. Van Elshocht, and E. Vancoille
- Abstract
not Available.
- Published
- 2010
- Full Text
- View/download PDF
122. Atomic Layer Deposition of Strontium Titanate Films Using Sr([sup t]Bu[sub 3]Cp)[sub 2] and Ti(OMe)[sub 4]
- Author
-
Thierry Conard, Dieter Pierreux, Nicolas Menou, S. Van Elshocht, Mihaela Popovici, Jorge A. Kittl, Karl Opsomer, Johan Swerts, Annelies Delabie, Dirk Wouters, Bert Brijs, and J. W. Maes
- Subjects
Materials science ,Renewable Energy, Sustainability and the Environment ,Analytical chemistry ,Mineralogy ,Dielectric ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Atomic layer deposition ,Perovskite ,chemistry.chemical_compound ,chemistry ,law ,Ellipsometry ,Materials Chemistry ,Electrochemistry ,Strontium titanate ,Crystallization ,Thin film ,Saturation (magnetic) - Abstract
Strontium titanate (STO) is a promising candidate as a high-k dielectric for dynamic random access memory application. STO thin films are deposited by atomic layer deposition using Sr( t Bu 3 Cp) 2 , Ti(OMe) 4 , and H 2 O as precursors. Growth and saturation behavior of STO and binary oxides are evaluated by ellipsometry thickness measurements. The precursor pulse ratio controls the amount of Sr and Ti incorporated in STO films. Stoichiometric SrTiO 3 is characterized by the lowest crystallization temperature and largest refractive index, density, and dielectric constant. An excess of Ti or Sr results in an increase in the crystallization onset temperature and contraction or expansion of the cubic cell constant of perovskite SrTiO 3 . Incorporation of more Sr in STO reduces the leakage current density but also increases the capacitance-equivalent thickness.
- Published
- 2010
- Full Text
- View/download PDF
123. Composition influence on the physical and electrical properties of SrxTi1−xOy-based metal-insulator-metal capacitors prepared by atomic layer deposition using TiN bottom electrodes
- Author
-
Valeri Afanasiev, G. Pourtois, K. Tomida, Paola Favia, Mihaela Popovici, Wouter Polspoel, Geert Rampelberg, Hugo Bender, J.W. Maes, N. Menou, Dieter Pierreux, W. Vandervorst, Dirk J. Wouters, M. A. Pawlak, B. Kaczer, Jorge A. Kittl, M. Badylevich, Thierry Conard, Bert Brijs, S. Van Elshocht, J. Swerts, S. Biesemans, Karl Opsomer, Christophe Detavernier, D. Manger, and Sergiu Clima
- Subjects
Permittivity ,Materials science ,General Physics and Astronomy ,chemistry.chemical_element ,Dielectric ,law.invention ,Atomic layer deposition ,Capacitor ,chemistry ,Vacuum deposition ,law ,Electrode ,Texture (crystalline) ,Composite material ,Tin - Abstract
In this work, the physical and electrical properties of SrxTi1−xOy (STO)-based metal-insulator-metal capacitors (MIMcaps) with various compositions are studied in detail. While most recent studies on STO were done on noblelike metal electrodes (Ru, Pt), this work focuses on a low temperature (250 °C) atomic layer deposition (ALD) process, using an alternative precursor set and carefully optimized processing conditions, enabling the use of low-cost, manufacturable-friendly TiN electrodes. Physical analyses show that the film crystallization temperature, its texture and morphology strongly depends on the Sr/Ti ratio. Such physical variations have a direct impact on the electric properties of SrxTi1−xOy based capacitors. It is found that Sr-enrichment result in a monotonous decrease in the dielectric constant and leakage current as predicted by ab initio calculations. The intercept of the EOT vs physical thickness plot further indicates that increasing the Sr-content at the film interface with the bottom TiN...
- Published
- 2009
- Full Text
- View/download PDF
124. Impact of the chemical concentration on the solid-phase epitaxial regrowth of phosphorus implanted preamorphized germanium
- Author
-
Eddy Simoen, M. Meuris, Bert Brijs, O. Richard, J. Geypen, Alessandra Satta, A. Firrincieli, A. Brugere, B. Van Daele, and W. Vandervorst
- Subjects
Recrystallization (geology) ,Materials science ,Silicon ,Doping ,Analytical chemistry ,General Physics and Astronomy ,chemistry.chemical_element ,Germanium ,Epitaxy ,law.invention ,Amorphous solid ,Secondary ion mass spectrometry ,chemistry ,law ,Crystallization - Abstract
The impact of the phosphorus concentration [P] on the solid-phase epitaxial regrowth rate of preamorphized p-type germanium has been studied by a combination of Rutherford backscattering, secondary ion mass spectrometry, and transmission electron microscopy. It will be shown that for P concentrations in the 1018–5×1019 cm−3 range, the regrowth rate is significantly enhanced compared with undoped germanium, while the opposite holds for [P] above about 4–5×1020 cm−3. This regrowth retardation is shown associated with segregation across the crystalline/amorphous boundary and snow plow of P in excess of the metastable solid solubility in the recrystallized material.
- Published
- 2009
- Full Text
- View/download PDF
125. Evaluation of GaAs Regrowth on A Novel GaAs/SiO2 Composite Surface On Silicon
- Author
-
Gustaaf Borghs, M. Blondeel, Chris Vinckier, J. De Boeck, J. Alay, Wilfried Vandervorst, Jan Vanhellemont, and Bert Brijs
- Subjects
Materials science ,Silicon ,business.industry ,Composite number ,Nucleation ,chemistry.chemical_element ,Epitaxy ,chemistry ,Optoelectronics ,Dislocation ,business ,Single crystal ,Layer (electronics) ,Molecular beam epitaxy - Abstract
GaAs is grown by molecular beam epitaxy (MBE) on composite GaAs-SiO2 nucleation layers fabricated on Si substrates. The composite surface (CS) is formed by an initial deposition of GaAs islands by MBE, followed by oxidation of the bare Si regions surrounding the islands. The oxidation is performed at 265°C in the afterglow of a microwave induced plasma. The fabrication of the composite GaAs-SiO2 nucleation layer is compatible with the epitaxy process. GaAs growth on CS's is performed to study the seeded lateral overgrowth of GaAs on the SiO2. We find single crystal GaAs on SiO2 regions up to 50 nm wide. On the SiO2 a large density of twins is present. The GaAs seeds are not pseudomorphic and misfit dislocation segments constitute a source of threading defects. The potential of the technique for GaAs-on-Si improvement is discussed.
- Published
- 1991
- Full Text
- View/download PDF
126. Solid-Phase Epitaxial Regrowth of Phosphorus Implanted Amorphized Germanium
- Author
-
Olivier Richard, Alessandra Satta, Jan Geypen, Marc Meuris, Wilfried Vandervorst, Benny Van Daele, Eddy Simoen, Antoine Brugere, and Bert Brijs
- Subjects
Materials science ,chemistry ,Phosphorus ,Phase (matter) ,Analytical chemistry ,chemistry.chemical_element ,Germanium ,Epitaxy - Abstract
This paper reports on the Solid Phase Epitaxial Regrowth (SPER) of phosphorus implanted pre-amorphized p-type germanium at 350 oC using Rapid Thermal Annealing and focuses more specifically on the P concentration dependence of the regrowth velocity. This is studied by a combination of Rutherford Backscattering in the channeling mode (RBS-C) and Secondary Ion Mass Spectrometry (SIMS). As will be shown, different regimes can be distinguished whereby for chemical concentrations up to 4-5x1020 cm-3 an enhanced recrystallization occurs compared with undoped amorphized Ge. Above this metastable solid solubility limit, the regrowth is retarded, due to the redistribution and snow plow of the excess P across the amorphous/crystalline interface. It will also be demonstrated that during SPER at 350 oC, limited P-diffusion occurs even at the highest implantation dose studied.
- Published
- 2008
- Full Text
- View/download PDF
127. Understanding Improved TANOS Retention by Material Analysis of the SixNy Trapping Layer
- Author
-
Aude Rothschild, Geert Van den bosch, Laurent Breuil, Antonio Cacciato, Harold Dekkers, Erik Sleeckx, Thierry Conard, Bert Brijs, and Jorge Kittl
- Abstract
not Available.
- Published
- 2008
- Full Text
- View/download PDF
128. InAsSb Photodiodes Grown on InAs, GaAs and Si Substrates by Molecular Beam Epitaxy
- Author
-
Robert Mertens, G. Zou, J. De Boeck, M. Van Hove, W. De Raedt, W. Dobbelaere, Gustaaf Borghs, Jan Vanhellemont, and Bert Brijs
- Subjects
Materials science ,Silicon ,Scattering ,business.industry ,chemistry.chemical_element ,Epitaxy ,Photodiode ,law.invention ,chemistry ,Transmission electron microscopy ,law ,Microscopy ,Optoelectronics ,business ,Current density ,Molecular beam epitaxy - Abstract
InAs and InAs0.85Sb0.15p-“i”-n structures were grown on InAs, GaAs and Si substrates by Molecular Beam Epitaxy. The structural quality of the layers is discussed using Transmission Electron Microscopy and Rutherford Back Scattering. The influence of the material quality on the 77 K current-voltage measurements is explained. The spectral response of the devices was measured demonstrating peak responsivities of 2.1 A/W at 3.5μm wavelength for InAs0.85Sb0.15 detectors with a 4.3 μm cut-off wavelength.
- Published
- 1990
- Full Text
- View/download PDF
129. ECR-PLASMA ASSISTED LASER ABLATION OF AS-DEPOSITED SUPERCONDUCTING THIN FILMS FOR APPLICATION IN MICROELECTRONICS
- Author
-
Kristin DENEFFE, Piet VAN MIEGHEM, Bert BRIJS, Wilfried VAN DER VORST, Robert MERTENS, and Gustaaf BORGHS
- Published
- 1990
- Full Text
- View/download PDF
130. Effect of amorphization and carbon co-doping on activation and diffusion of boron in silicon
- Author
-
Bert Brijs, Susan Felch, Bartek Pawlak, Tom Janssens, Wilfried Vandervorst, N. E. B. Cowern, and E.J.H. Collart
- Subjects
Amorphous semiconductors ,Materials science ,Physics and Astronomy (miscellaneous) ,Silicon ,Doping ,chemistry.chemical_element ,Recrystallization (metallurgy) ,Crystallography ,Ion implantation ,chemistry ,Chemical physics ,Rapid thermal annealing ,Boron ,Junction depth - Abstract
We investigate the impact of amorphization and C co-implantation on B diffusion and activation properties after conventional spike rapid thermal annealing (RTA). We observe that after complete recrystallization at 600°C the B tail deepens by 5nm (at 5×1018at.∕cm3) due to B diffusion in a-Si. After spike RTA it becomes 12nm deeper with respect to an as-implanted profile, which proves that both diffusion mechanisms in a-Si and c-Si are important. However, the B diffusion in c-Si is sensitive to the fraction of substitutional C incorporated into c-Si. The best junction depth is Xj=16.5nm, with abruptness of 2nm/decade and Rs=583Ω∕◻.
- Published
- 2006
- Full Text
- View/download PDF
131. The impact of the density and type of reactive sites on the characteristics of the atomic layer deposited WNxCy films
- Author
-
Brenda Eyckens, Alain M. Jonas, Jean-Pierre Celis, Karen Maex, Jorg Schuhmacher, O. Richard, Wilfried Vandervorst, André Vantomme, Caroline Whelan, Youssef Travaly, Simone Giangrandi, Bert Brijs, Timo Sajavaara, and A. Martin Hoyas
- Subjects
chemistry.chemical_compound ,chemistry ,Silicon ,Silicon nitride ,Analytical chemistry ,General Physics and Astronomy ,chemistry.chemical_element ,Tungsten hexafluoride ,Chemical vapor deposition ,Tungsten ,Island growth ,Tungsten nitride ,Carbide - Abstract
The growth of tungsten nitride carbide (WNxCy) films obtained by atomic layer deposition using triethylboron, tungsten hexafluoride, and ammonia precursors is determined by the density and type of reactive sites. The film properties change as a function of thickness. On silicon dioxide and silicon carbide, growth is initially nonlinear such that the transient regimes are characterized by island formation, as evidenced by a parabolic tungsten growth curve extending to film thicknesses of up to 5 nm. Such films have low densities of similar to 4-6 g cm(-3) corresponding to only similar to 30%-45% of the bulk density of similar to 13.1 g cm(-3) determined for a WN0.45C0.55 composition. X-ray reflectivity, thermal desorption, and elastic recoil detection spectroscopies reveal surface roughening and compositional and density differences close to the substrate surface. The offset from linear growth in the case of WNxCy films deposited on silicon dioxide is induced by the initial reaction of silanol and siloxane groups with triethylborane resulting in passivating ethylsilyl groups on the surface. A transient regime is not observed for WNxCy growth on hydrogen-terminated silicon with the initial growth being dominated by the reduction of tungsten hexafluoride to tungsten. On silicon nitride a short transient regime is observed relative to the carbide and oxide surfaces attributed to the enhanced binding of the triethylboron precursor. (c) 2006 American Institute of Physics.
- Published
- 2006
- Full Text
- View/download PDF
132. Dopants for N and P Junctions in Germanium
- Author
-
Alessandra Satta, Marc Meuris, Tom Janssens, Trudo Clarysse, Eddy Simoen, Caroline Demeurisse, Bert Brijs, Ilse Hoflijk, and Wilfried Vandervorst
- Abstract
not Available.
- Published
- 2006
- Full Text
- View/download PDF
133. Rare-earth Metal Scandate High-k Layers: Promises and Problems
- Author
-
Chao Zhao, Tassilo Heeg, Martin Wagner, Jurgen Schubert, Thomas Witters, Bert Brijs, Hugo Bender, Olivier Richard, Valeri Afanasiev, Matty Caymax, and Stefan De Gendt
- Abstract
not Available.
- Published
- 2006
- Full Text
- View/download PDF
134. Studies and Optimization of HfO2 Grown by HfCl4/H2O Atomic Layer Deposition
- Author
-
Annelies Delabie, Matty Caymax, Bert Brijs, David Brunco, Thierry Conard, Erik Sleeckx, Lars-Ake Ragnarsson, Sven Van Elshocht, Stefan De Gendt, and Marc Heyns
- Abstract
not Available.
- Published
- 2006
- Full Text
- View/download PDF
135. Nucleation of atomic-layer-deposited HfO2 films, and evolution of their microstructure, studied by grazing incidence small angle x-ray scattering using synchrotron radiation
- Author
-
Junling Wang, Martin L. Green, Andrew J. Allen, Jan Ilavsky, Bert Brijs, Annelies Delabie, Riikka L. Puurunen, and Xiang Li
- Subjects
Crystallography ,Atomic layer deposition ,Materials science ,Physics and Astronomy (miscellaneous) ,Vacuum deposition ,Small-angle X-ray scattering ,Scattering ,Analytical chemistry ,Nucleation ,Chemical vapor deposition ,Thin film ,Microstructure - Abstract
We report the results of grazing incidence small angle x-ray scattering experiments on the nucleation and growth of atomic layer deposited HfO2 films. The scattering features are internal (porosity) and external (roughness) surfaces. Films grown on H-terminated Si exhibit greater scattering than films grown on chemically oxidized Si. The films grown on H-terminated Si may be as much as 50% porous. Characteristic scattering feature sizes are those of the film nuclei, about 2 nm, which then coalesce and become inherited features of the films. Films grown on chemically oxidized Si are observed to coalesce at about 25 growth cycles.
- Published
- 2006
- Full Text
- View/download PDF
136. Metallorganic Chemical Vapor Deposition of Dysprosium Scandate High-k Layers Using mmp-Type Precursors
- Author
-
Christoph Adelmann, Alexis Franquet, Thomas Witters, Paul Zimmerman, M.M. Heyns, O. Richard, C. Lohe, Mindaugas Lukosius, Adulfas Abrutis, B. Seitzinger, Bert Brijs, S. De Gendt, P. Lehnen, S. Van Elshocht, Matty Caymax, Thierry Conard, P. Williams, and Alain Moussa
- Subjects
Materials science ,Silicon ,Renewable Energy, Sustainability and the Environment ,Inorganic chemistry ,Analytical chemistry ,chemistry.chemical_element ,Equivalent oxide thickness ,Chemical vapor deposition ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,Gate oxide ,law ,Materials Chemistry ,Electrochemistry ,Dysprosium ,Deposition (phase transition) ,Crystallization ,High-κ dielectric - Abstract
Rare-earth scandate materials have been identified as candidates for gate dielectrics in metal oxide semiconductor transistors because of their high thermal stability against crystallization in combination with a high-dielectric constant. In this study, tris(1-methoxy-2-methyl-2-propoxy)dysprosium [Dy(mmp) 3 ] and Sc(mmp) 3 are evaluated as metallorganic chemical vapor deposition precursors for deposition of Dy x Sc y O z on silicon at moderate temperatures (450-600°C). These temperatures allow easy integration into a standard transistor flow. The layers are uniform with a close to bulk density and smooth top surface. Electrical characterization measurements shows a gate leakage current of 1.8 X 10 -5 A/cm 2 at 4.5 V for an equivalent oxide thickness of 2.0 nm. Limited hysteresis (9 mV) and frequency dispersion (3% difference in accumulation capacitance between 10 and 250 kHz) was observed.
- Published
- 2006
- Full Text
- View/download PDF
137. Shallow Junction Ion Implantation in Ge and Associated Defect Control
- Author
-
W. Vandervorst, I. Hoflijk, Alessandra Satta, Eddy Simoen, B. De Jaeger, A. Benedetti, Tom Janssens, Marc Meuris, Bert Brijs, and Trudo Clarysse
- Subjects
Materials science ,Dopant ,Renewable Energy, Sustainability and the Environment ,Annealing (metallurgy) ,Analytical chemistry ,Recrystallization (metallurgy) ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ion ,Amorphous solid ,Crystallography ,Ion implantation ,Transmission electron microscopy ,Materials Chemistry ,Electrochemistry ,Solubility - Abstract
We have studied implant-induced damage, defect annealing, and recrystallization of B, Ga, P, As, and Sb introduced in Ge by ion implantation at high doses, such that dopant chemical concentrations are above the corresponding solubility in Ge, with energies that target about 100-nm junction depths. It is shown that the amount of damage induced in the Ge lattice increases with the mass of the implanted ion, as expected. Implanted B produces local amorphous regions, although crystalline Ge zones are present in the implanted layer. P is a self-amorphizing ion, creating a continuous amorphous layer during implantation. However, a low thermal budget is sufficient to fully regrow the amorphous layer, without evidence of residual extended defects, as evaluated by cross-sectional transmission electron microscopy. Conversely, high concentrations of As cause a significant decrease of the regrowth rate of the damaged layer during rapid thermal annealing in the 400-600°C range studied. Finally, high-dose implantation of heavy ions such as Sb induces dramatic morphologic changes in Ge that are not recovered by post-implant rapid thermal annealing.
- Published
- 2006
- Full Text
- View/download PDF
138. Scaling to Sub-1 nm Equivalent Oxide Thickness with Hafnium Oxide Deposited by Atomic Layer Deposition
- Author
-
Sven Van Elshocht, Lars-Ake Ragnarsson, Erik Sleeckx, Marc Heyns, Matty Caymax, Thierry Conard, Stefan De Gendt, David P. Brunco, Annelies Delabie, and Bert Brijs
- Subjects
Materials science ,Silicon ,Renewable Energy, Sustainability and the Environment ,Analytical chemistry ,chemistry.chemical_element ,Equivalent oxide thickness ,Plasma ,Dielectric ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Atomic layer deposition ,chemistry ,Materials Chemistry ,Electrochemistry ,Remote plasma ,Saturation (chemistry) ,Order of magnitude - Abstract
The implementation of HfO 2 gate dielectrics in sub-45 nm devices requires optimization of nanometer-thin HfO 2 layers, deposited, e.g., by atomic layer deposition (ALD). In this work, we optimize ALD conditions such as precursor pulse time and deposition temperature for HfO 2 layers with physical thicknesses below 2 nm. Additionally, we investigate intermediate treatments in the ALD reaction cycle, such as exposure to gas-phase moisture or remote plasma at low temperature and thermal anneals. Such intermediate treatments affect both growth-per-cycle (GPC) and Cl-impurity content of the HfO 2 layers. The analysis of the process modifications allows a better understanding of the reaction mechanisms. H 2 O pulse times of 10 s must be applied to achieve saturation in GPC and Cl content. Using saturated H 2 O pulses decreases the gate leakage current in the sub-1 nm equivalent oxide thickness (EOT) range. The GPC is enhanced from ∼1.8 Hf/nm 2 for conventional ALD to 4 Hf/nm 2 for intermediate plasma treatments at low temperature. Intermediate anneals reduce the Cl content by about two orders of magnitude. Sufficient hydroxylation of the HfO 2 surface is one important factor controlling electrical properties in the sub-1 nm EOT range. The reduction of the Cl content does not systematically improve the electrical properties.
- Published
- 2006
- Full Text
- View/download PDF
139. A theoretical and experimental study of atomic-layer-deposited films onto porous dielectric substrates
- Author
-
Mikhail R. Baklanov, Bert Brijs, Marc F. A. Hendrickx, Alain M. Jonas, Simone Giangrandi, Krf Somers, Luc G. Vanquickenborne, M. A. Van Hove, Karen Maex, O. Richard, Thomas Abell, Y. TravaIy, Jorg Schuhmacher, and Arnout Ceulemans
- Subjects
Atomic layer deposition ,Materials science ,endocrine system diseases ,Chemical engineering ,Vacuum deposition ,Nucleation ,Analytical chemistry ,General Physics and Astronomy ,Chemical vapor deposition ,Dielectric ,Thin film ,Porosity ,Rutherford backscattering spectrometry - Abstract
Gaseous precursors for the atomic layer deposition (ALD) process can penetrate and deposit inside porous dielectrics. Understanding the relationship between the substrate porosity and the size and shape of precursor molecules used for the ALD of dielectric or metal films on these substrates is crucial for the formation and fabrication of reliable nanostructures. Sealing the surface pores is highly desirable to prevent the deposition of gaseous ALD precursors inside the porous network. In this study, in-diffusion of precursors is investigated using x-ray reflectivity, transmission electron microscopy, and Rutherford backscattering spectrometry for different porous substrates and binary (TaN) and ternary (WNC) ALD systems. Experimental observations are complemented with computational molecular modeling performed in the framework of the density-functional-theory formalism to determine the size and shape of ALD precursors. Pore sealing treatments are used to prevent a precursor penetration and to provide a suitable starting surface for the nucleation and growth of ALD films.
- Published
- 2005
- Full Text
- View/download PDF
140. Atomic layer deposition of hafnium oxide on germanium substrates
- Author
-
Marco de Ridder, Riikka L. Puurunen, HH Hidde Brongersma, Marc Heyns, Bart Onsia, Torgny Gustafsson, Marc Meuris, Olivier Richard, Matty Caymax, Lyudmila V. Goncharova, Eric Garfunkel, Chao Zhao, Annelies Delabie, Thierry Conard, Minna Viitanen, Wilfried Vandervorst, Wilman Tsai, and Bert Brijs
- Subjects
Materials science ,Silicon ,business.industry ,General Physics and Astronomy ,chemistry.chemical_element ,Nanotechnology ,Germanium ,Chemical vapor deposition ,Island growth ,Amorphous solid ,Atomic layer deposition ,chemistry ,Monolayer ,Optoelectronics ,business ,Layer (electronics) - Abstract
Germanium combined with high- κ dielectrics has recently been put forth by the semiconductor industry as potential replacement for planar silicon transistors, which are unlikely to accommodate the severe scaling requirements for sub- 45-nm generations. Therefore, we have studied the atomic layer deposition (ALD) of Hf O2 high- κ dielectric layers on HF-cleaned Ge substrates. In this contribution, we describe the Hf O2 growth characteristics, Hf O2 bulk properties, and Ge interface. Substrate-enhanced Hf O2 growth occurs: the growth per cycle is larger in the first reaction cycles than the steady growth per cycle of 0.04 nm. The enhanced growth goes together with island growth, indicating that more than a monolayer coverage of Hf O2 is required for a closed film. A closed Hf O2 layer is achieved after depositing 4-5 Hf O2 monolayers, corresponding to about 25 ALD reaction cycles. Cross-sectional transmission electron microscopy images show that Hf O2 layers thinner than 3 nm are amorphous as deposited, while local epitaxial crystallization has occurred in thicker Hf O2 films. Other Hf O2 bulk properties are similar for Ge and Si substrates. According to this physical characterization study, Hf O2 can be used in Ge-based devices as a gate oxide with physical thickness scaled down to 1.6 nm.
- Published
- 2005
- Full Text
- View/download PDF
141. Athermal germanium migration in strained silicon layers during junction formation with solid-phase epitaxial regrowth
- Author
-
Matthias Posselt, Romain Delhougne, Roger Loo, Tom Janssens, Bartek Pawlak, Wilfried Vandervorst, Matty Caymax, and Bert Brijs
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,Silicon ,business.industry ,Transistor ,strained silicon ,athermal migration ,chemistry.chemical_element ,Strained silicon ,Germanium ,Dopant Activation ,Epitaxy ,law.invention ,germanium ,silicon germanium ,junction formation ,chemistry ,law ,Phase (matter) ,Optoelectronics ,business ,Layer (electronics) - Abstract
The formation of a thin strained Si layer on top of a strain-relaxed SiGe buffer is a recent approach to improve the drive current of complementary metal-oxide-semiconductor devices by inducing strain within the transistor channel. At the same time, advanced process technologies require junction formation processes with minimal diffusion and very high dopant activation. Solid-phase epitaxial regrowth is a low temperature process based on preamorphization and subsequent regrowth leading to highly activated and shallow junctions. In this letter, we investigate the stability of the thin strained Si layer, during solid-phase epitaxial regrowth process by monitoring the Ge redistribution/strain after the preamorphization step (without any anneal) and after the thermal regrowth process.
- Published
- 2005
- Full Text
- View/download PDF
142. Microstructure and resistivity characterization of CuAu I superlattice formed in Cu∕Au thin films
- Author
-
Bert Brijs, Olivier Richard, Sywert Brongersma, Ludo Froyen, Karen Maex, Wenqi Zhang, and Roger Palmans
- Subjects
Materials science ,genetic structures ,Condensed matter physics ,Kirkendall effect ,Superlattice ,Alloy ,General Engineering ,Intermetallic ,engineering.material ,Microstructure ,eye diseases ,Crystallography ,Transmission electron microscopy ,Electrical resistivity and conductivity ,engineering ,sense organs ,Thin film - Abstract
Stoichiometric AuCu alloy has been well studied in bulk form, but the resistivity of very thin films has rarely been reported. In fact, thin copper and gold films show a strong deviation from bulk resistivity due to the size effect, which motivates us to study CuAu I thin films and understand the properties of nanoscale metallization in more details. Very thin films of ordered CuAu I phase were formed by interdiffusion of Cu∕Au bilayers, in which the intermetallic phase formation was characterized by glancing angle x-ray diffraction and transmission electron microscopy. It was found that Kirkendall voids were created during the interdiffusion. Also, as it turned out, the resistivity of such thin CuAu I films changed only slightly with decreasing film thickness, which was different from pure copper and gold thin films.
- Published
- 2004
- Full Text
- View/download PDF
143. Chemical and electrical dopants profile evolution during solid phase epitaxial regrowth
- Author
-
B Dieu, L. Geenen, R. Surdeanu, C. J. J. Dachs, R. Lindsay, Trudo Clarysse, Bert Brijs, Ilse Hoflijk, B.J. Pawlak, Ray Duffy, Olivier Richard, and W. Vandervorst
- Subjects
Materials science ,Silicon ,Dopant ,business.industry ,Electrical junction ,Diffusion ,Doping ,General Engineering ,chemistry.chemical_element ,Dopant Activation ,Epitaxy ,chemistry ,Phase (matter) ,Optoelectronics ,business - Abstract
Solid phase epitaxial regrowth (SPER) is a promising method for junction formation of sub-65 nm complementary metal–oxide–semiconductor technology nodes. This is mainly due to a high dopant activation level, easy control over electrical junction depth, excellent abruptness, and limited boron diffusion. In the present research we investigate in detail the activation process and the chemical profile change after SPER junction activation with respect to the regrowth temperature. We also obtain the electrically active profiles. We find that the process window for SPER between T=620 °C and T=740 °C offers the best activation level and has a dopant profile similar to the as-implanted. While increasing the regrowth temperature, we observe the gradual increase of the transient enhanced diffusion effect and formation of B trapping centers in the end-of-range (EOR) region. At temperatures as high as T=800 °C and T=850 °C the dopant activation beyond the original a-Si layer is observed and the high metastable B acti...
- Published
- 2004
- Full Text
- View/download PDF
144. Bulk Properties of MOCVD-Deposited HfO[sub 2] Layers for High k Dielectric Applications
- Author
-
Marc Heyns, Olivier Richard, L. Carbonell, Danielle Vanhaeren, Thierry Conard, Thomas Witters, Stefan De Gendt, D. Pique, Guy Vereecke, Bert Brijs, Chao Zhao, Martine Claes, L. Date, Matty Caymax, Vincent Cosnier, Richard Carter, Mikhail R. Baklanov, Sven Van Elshocht, and Jon Kluth
- Subjects
Materials science ,Thin layers ,Silicon ,Renewable Energy, Sustainability and the Environment ,Analytical chemistry ,chemistry.chemical_element ,Porosimetry ,Dielectric ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Phase (matter) ,Materials Chemistry ,Electrochemistry ,Metalorganic vapour phase epitaxy ,Thin film ,High-κ dielectric - Abstract
The physical bulk properties of metalorganic chemical vapor deposited (MOCVD) deposited HfO 2 layers were characterized as a function of deposition temperature. thickness, and starting surface. It is shown that depositing HfO 2 layers at 300°C results in a lower density film compared to films deposited at higher temperature (e.g., 485 and 600°C). In addition, it is shown that layers deposited at 300°C contain significant amounts of carbon originating from the organic precursor (tetrakis-diethylamidohafnium). As a result of the low density and/or carbon contamination, the dielectric properties of these layers are very poor. It is observed that the density of the film is heavily dependent on the thickness, where very thin layers have a density that is only a fraction of the bulk density regardless of the deposition temperature. For thicker layers, a higher deposition temperature is seen to result in a higher density, although still lower than bulk density, as observed by ellipsometric porosimetry. Finally, the crystalline state of the material is found to be dependent on the deposition temperature, thickness, and post-deposition anneal. Based on our results, MOCVD deposited HfO 2 layers are expected to be polycrystalline and present in its cubic and/or monoclinic phase.
- Published
- 2004
- Full Text
- View/download PDF
145. Analysis of Selectively Grown Epitaxial Si[sub 1−x]Ge[sub x] by Spectroscopic Ellipsometry and Comparison with Other Established Techniques
- Author
-
Wilfried Vandervorst, Bert Brijs, Luc Geenen, Matty Caymax, G Blavier, Roger Loo, and Milan Libezny
- Subjects
Materials science ,Photoluminescence ,Renewable Energy, Sustainability and the Environment ,Analytical chemistry ,Heterojunction ,Condensed Matter Physics ,Epitaxy ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Materials Chemistry ,Electrochemistry ,Wafer ,Growth rate ,Spectroscopy ,Refractive index ,Harmonic oscillator - Abstract
The increased interest in epitaxial Si 1-x Ge x /Si heterostructures for device applications requires very good control of layer thickness and composition. Unfortunately, most of the well-developed characterization methods, such as Rutherford backscattering spectroscopy (RBS), secondary ion mass spectroscopy, and photoluminescence measurements are unsuitable as production measurement tools. On the other hand, spectroscopic ellipsometry (SE) allows a fast, in-line, and nondestructive analysis, including wafer mapping capabilities. This paper demonstrates the suitability of SE for the determination of both Ge content and layer thickness of epitaxial Si 1-x Ge x for Ge contents between 1 and 35%. By describing the optical dispersion by means of the harmonic oscillator model, we obtained a clear correlation between the Ge content and E n (1), the resonant energy of the first oscillator, and n max , the peak value of the real part of the refractive index. The small spot (30 × 30 μm) size allows one to characterize Si 1-x Ge x layers selectively grown in an isolation structure. The small window size prevents RBS measurements. SE allowed the fine tuning of a selective epitaxial growth process with regard to growth rate, Ge incorporation, and wafer uniformity.
- Published
- 2000
- Full Text
- View/download PDF
146. Crystallization resistance of barium titanate zirconate ultrathin films from aqueous CSD: a study of cause and effect.
- Author
-
An Hardy, Sven Van Elshocht, Werner Knaepen, Jan D'Haen, Thierry Conard, Bert Brijs, Wilfred Vandervorst, Geoffrey Pourtois, Jorge Kittl, Christophe Detavernier, Marc Heyns, Marlies K. Van Bael, Heidi Van den Rul, and Jules Mullens
- Abstract
Ultrathin BaZr0.8Ti0.2O3films (t< 30 nm) on SiOx/Si substrates were obtained by means of aqueous chemical solution deposition (CSD). Though the precursor crystallized into cubic perovskite powder at 600 °C, ultrathin films only crystallized at 950 to 1000 °C, even after addition of excess Ba to compensate for loss of Ba. Films with thickness above 100 nm, on the other hand, crystallized readily around 650 °C. The crystallization is related to film thickness, affecting the crystallization activation energy, and to silicate formation by reaction with the substrate, exerting its largest influence in ultrathin films. Barium deficiency, silicate formation, carbonate secondary phase and the high activation energy for crystallization resulted in the amorphous nature of the ultrathin films, which strongly affects the observed kvalue (∼ 15). The paper contributes insights with implications for the application of BaZr0.8Ti0.2O3as an alternative high-kgate dielectric. [ABSTRACT FROM AUTHOR]
- Published
- 2009
- Full Text
- View/download PDF
147. As-Deposited Superconducting Thin Films by Electron Cyclotron Resonance-Assisted Laser Ablation for Application in Micro-Electronics
- Author
-
Bert Brijs, Piet Van Mieghem, Wilfried Vandervorst, Robert Mertens, K. Deneffe, and Gustaaf Borghs
- Subjects
Laser ablation ,High-temperature superconductivity ,Chemistry ,business.industry ,General Engineering ,Analytical chemistry ,Cyclotron resonance ,General Physics and Astronomy ,Substrate (electronics) ,Partial pressure ,Electron cyclotron resonance ,law.invention ,law ,Optoelectronics ,Microelectronics ,Thin film ,business - Abstract
A method for the growth of as-deposited superconducting thin films which combines YAG-laser ablation and oxidation by an electron cyclotron resonance (ECR)-excited oxygen plasma is described. It is demonstrated by depositions on YSZ and SrTiO3 substrates that this one-step method is compatible with the requirements of large surface homogeneity, low substrate temperature and low oxygen partial pressure. The method is therefore well suited for applications in micro-electronics.
- Published
- 1991
- Full Text
- View/download PDF
148. LIGISOL: The Leuven ion guide isotope separator on-line
- Author
-
E. Coenen, P. Van Duppen, Marc Huyse, D. Wouters, J. Gentens, Bert Brijs, and K. Deneffe
- Subjects
Nuclear physics ,Nuclear and High Energy Physics ,Recoil ,Isotope ,Chemistry ,Ionization ,Separator (oil production) ,Nuclear Experiment ,Recoil energy ,Instrumentation ,Mass separation ,Line (formation) ,Ion - Abstract
The development of a new ion-guide facility for mass separation operating on-line with a heavy-ion accelerator is described. Different aspects related to the ionisation principle for reaction products with high recoil energies are discussed. It is proven that this ion-guide technique can be developed further to become one of the most general separation techniques, independent from physical (half-life, recoil energy,…) or chemical (refractoriness,…) characteristics.
- Published
- 1987
- Full Text
- View/download PDF
149. Present status and expected evolution of LISOL, the Leuven isotope separator on-line
- Author
-
G. Lhersonneau, J Verplancke, Marc Huyse, Bert Brijs, J. Gentens, and K Cornelis
- Subjects
Nuclear physics ,Nuclear detection ,law ,Chemistry ,Ionization ,Cyclotron ,General Engineering ,Separator (oil production) ,Neutron ,Beam (structure) ,Ion source ,law.invention ,Ion - Abstract
Since the first on-line experiment in May 1975, LISOL has developed into a reliable instrument thanks to the continuous improvement of the “CYCLONE” cyclotron beams, the separator ion-sources, the beam-handling techniques and the nuclear detection techniques. The isochronous cyclotron “CYCLONE” at Louvain-la-Neuve can accelerate projectiles ranging from protons to Ar ions. With a new cyclotron ion source under construction it is expected to extend the charge state (and thus the energy), the current and the number of heavy ions available. At present five types of separator ion sources are in use, each with its favourably ionized element or group of elements, thus offering the possibility for a limited Z -selection. These are: a one filament (Cd) and a three filament Nielsen source (Ag and In), with improved heat shielding, two types of Febiad sources (Sn and In) and a new small volume source for elements with a low ionisation potential. Different targets in foil- or powder form have been tested. Until now only one group, doing nuclear spectroscopy on neutron deficient nuclei in the vicinity of Z = 50, N = 50, has been using the LISOL separator beam. They have a fast tape system, which has been extended for three or four detector coincidence measurements, and a multimass collecting system at their disposal. Two new teams, one doing on-line nuclear orientation and the other one collinear, Doppler-free laser spectroscopy are putting their apparatus behind the separator; several changes in the beam handling system have been carried out or are planned for them.
- Published
- 1981
- Full Text
- View/download PDF
150. Proposal for a new type of ion source: HECRISOL
- Author
-
Johan Wouters, Ludo Vanneste, D. Vandeplassche, E. van Walle, Bert Brijs, K. Deneffe, Nathal Severijns, M. Huyse, and Yves Jongen
- Subjects
Nuclear and High Energy Physics ,Materials science ,Physics::Plasma Physics ,Type (model theory) ,Atomic physics ,Instrumentation ,Ion source ,Ion - Abstract
A new type of ISOL source consisting of a He-jet combined with an ECR-source optimized for singly charged ions is proposed. The advantages of HECRISOL compared to existing sources is briefly discussed.
- Published
- 1987
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.