223 results on '"Jinwook Jung"'
Search Results
52. A 7-nm Four-Core Mixed-Precision AI Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS INT4 Inference, and Workload-Aware Throttling
- Author
-
Jinwook Oh, Alyssa Herbert, Marcel Schaal, Zhibin Ren, Ching Zhou, Siyu Koswatta, Naigang Wang, Matthew Cohen, Vidhi Zalani, Howard M. Haynie, Matthew M. Ziegler, Sae Kyu Lee, Brian W. Curran, Monodeep Kar, Martin Lutz, Xin Zhang, Robert Casatuta, Vijayalakshmi Srinivasan, Nianzheng Cao, Sunil Shukla, Pong-Fei Lu, Leland Chang, Michael A. Guillorn, Bruce M. Fleischer, Michael R. Scheuermann, Joel Abraham Silberman, Kerstin Schelm, Vinay Velji Shah, Chia-Yu Chen, Kailash Gopalakrishnan, Swagath Venkataramani, Hung Tran, Mingu Kang, Wei Wang, Jungwook Choi, Scot H. Rider, Jinwook Jung, James J. Bonanno, Radhika Jain, Li Yulong, Xiao Sun, Silvia Melitta Mueller, Kyu-hyoun Kim, and Ankur Agrawal
- Subjects
Power management ,Computer science ,business.industry ,Deep learning ,Inference ,Bandwidth throttling ,Chip ,Power budget ,Artificial intelligence ,Electrical and Electronic Engineering ,business ,Electrical efficiency ,Computer hardware ,Efficient energy use - Abstract
Reduced precision computation is a key enabling factor for energy-efficient acceleration of deep learning (DL) applications. This article presents a 7-nm four-core mixed-precision artificial intelligence (AI) chip that supports four compute precisions--FP16, Hybrid-FP8 (HFP8), INT4, and INT2--to support diverse application demands for training and inference. The chip leverages cutting-edge algorithmic advances to demonstrate leading-edge power efficiency for 8-bit floating-point (FP8) training and INT4 inference without model accuracy degradation. A new HFP8 format combined with separation of the floating- and fixed-point pipelines and aggressive circuit/architecture optimization enables performance improvements while maintaining high compute utilization. A high-bandwidth ring protocol enables efficient data communication, while power management using workload-aware clock throttling maximizes performance within a given power budget. The AI chip demonstrates 3.58-TFLOPS/W peak energy efficiency and 26.2-TFLOPS peak performance for HFP8 iso-accuracy training, and 16.9-TOPS/W peak energy efficiency and 104.9-TOPS peak performance for INT4 iso-accuracy inference.
- Published
- 2022
- Full Text
- View/download PDF
53. Energy-efficient Spin-Transfer Torque RAM cache exploiting additional all-zero-data flags.
- Author
-
Jinwook Jung, Yohei Nakata, Masahiko Yoshimoto, and Hiroshi Kawaguchi 0001
- Published
- 2013
- Full Text
- View/download PDF
54. Asymptotic analysis for a Vlasov–Fokker–Planck/Navier–Stokes system in a bounded domain
- Author
-
Young-Pil Choi and Jinwook Jung
- Subjects
Physics ,Asymptotic analysis ,Isentropic process ,Applied Mathematics ,Mathematical analysis ,Mathematics::Analysis of PDEs ,Kinetic energy ,Domain (mathematical analysis) ,Physics::Fluid Dynamics ,Nonlinear system ,Modeling and Simulation ,Bounded function ,Compressibility ,Fokker–Planck equation - Abstract
We study an asymptotic analysis of a coupled system of kinetic and fluid equations. More precisely, we deal with the nonlinear Vlasov–Fokker–Planck equation coupled with the compressible isentropic Navier–Stokes system through a drag force in a bounded domain with the specular reflection boundary condition for the kinetic equation and homogeneous Dirichlet boundary condition for the fluid system. We establish a rigorous hydrodynamic limit corresponding to strong noise and local alignment force. The limiting system is a type of two-phase fluid model consisting of the isothermal Euler system and the compressible Navier–Stokes system. Our main strategy relies on the relative entropy argument based on the weak–strong uniqueness principle. For this, we provide a global-in-time existence of weak solutions for the coupled kinetic-fluid system. We also show the existence and uniqueness of strong solutions to the limiting system in a bounded domain with the kinematic boundary condition for the Euler system and Dirichlet boundary condition for the Navier–Stokes system.
- Published
- 2021
- Full Text
- View/download PDF
55. DATC RDF: Robust design flow database: Invited paper.
- Author
-
Jinwook Jung, Pei-Yu Lee, Yan-Shiun Wu, Nima Karimpour Darav, Iris Hui-Ru Jiang, Victor N. Kravets, Laleh Behjat, Yih-Lang Li, and Gi-Joon Nam
- Published
- 2017
- Full Text
- View/download PDF
56. 256-KB associativity-reconfigurable cache with 7T/14T SRAM for aggressive DVS down to 0.57 V.
- Author
-
Jinwook Jung, Yohei Nakata, Shunsuke Okumura, Hiroshi Kawaguchi 0001, and Masahiko Yoshimoto
- Published
- 2011
- Full Text
- View/download PDF
57. DATC RDF: an academic flow from logic synthesis to detailed routing.
- Author
-
Jinwook Jung, Iris Hui-Ru Jiang, Jianli Chen, Shih-Ting Lin, Yih-Lang Li, Victor N. Kravets, and Gi-Joon Nam
- Published
- 2018
- Full Text
- View/download PDF
58. A 40-nm Resilient Cache Memory for Dynamic Variation Tolerance Delivering ×91 Failure Rate Improvement under 35% Supply Voltage Fluctuation.
- Author
-
Yohei Nakata, Yuta Kimi, Shunsuke Okumura, Jinwook Jung, Takuya Sawada, Taku Toshikawa, Makoto Nagata, Hirofumi Nakano, Makoto Yabuuchi, Hidehiro Fujiwara, Koji Nii, Hiroyuki Kawai, Hiroshi Kawaguchi 0001, and Masahiko Yoshimoto
- Published
- 2014
- Full Text
- View/download PDF
59. General linear model and inference for near infrared spectroscopy using global confidence region analysis.
- Author
-
Sungho Tak, Kwang Eun Jang, Jinwook Jung, Jaeduck Jang, and Jong Chul Ye
- Published
- 2008
- Full Text
- View/download PDF
60. Performance Improvement of SCTP for Heterogeneous Ubiquitous Environment.
- Author
-
Doo-Won Seo, Hyuncheol Kim, Jahwan Koo, and Jinwook Jung
- Published
- 2007
- Full Text
- View/download PDF
61. The pressureless damped Euler–Riesz equations
- Author
-
Young-Pil Choi and Jinwook Jung
- Subjects
Applied Mathematics ,Mathematical Physics ,Analysis - Published
- 2022
- Full Text
- View/download PDF
62. In situ atomistic observations of dynamics of Lomer dislocations in Au subjected to electron-beam irradiation
- Author
-
Sung Bo Lee, Simoon Sung, Jinwook Jung, and Heung Nam Han
- Subjects
General Materials Science - Published
- 2023
- Full Text
- View/download PDF
63. The first mobile photon-counting detector CT: the human images and technical performance study
- Author
-
Su-Jin Park, Junyoung Park, Doil Kim, Duhgoon Lee, Chang-Lae Lee, Ibrahim Bechwati, Dufan Wu, Rajiv Gupta, and Jinwook Jung
- Subjects
Radiological and Ultrasound Technology ,Radiology, Nuclear Medicine and imaging - Abstract
Objective. The purpose of this study is to assess its human images and its unique capabilities such as the ‘on demand’ higher spatial resolution and multi-spectral imaging of photon-counting-detector (PCD)-CT. Approach. In this study, the FDA 510(k) cleared mobile PCD-CT (OmniTom Elite) was used. To this end, we imaged internationally certified CT phantoms and a human cadaver head to evaluate the feasibility of high resolution (HR) and multi-energy imaging. We also demonstrate the performance of PCD-CT via first-in-human imaging by scanning three human volunteers. Main results. At the 5 mm slice thickness, routinely used in diagnostic head CT, the first human PCD-CT images were diagnostically equivalent to the EID-CT scanner. The HR acquisition mode of PCD-CT achieved a resolution of 11 line-pairs (lp)/cm as compared to 7 lp cm−1 using the same kernel (posterior fossa-kernel) in the standard acquisition mode of EID-CT. For the quantitative multi-energy CT performance, the measured CT numbers in virtual mono-energetic images (VMI) of iodine inserts in the Gammex Multi-Energy CT phantom (model 1492, Sun Nuclear Corporation, USA) matched the manufacturer reference values with mean percent error of 3.25%. Multi-energy decomposition with PCD-CT demonstrated the separation and quantification of iodine, calcium, and water. Significance. PCD-CT can achieve multi-resolution acquisition modes without physically changing the CT detector. It can provide superior spatial resolution compared with the standard acquisition mode the conventional mobile EID-CT. Quantitative spectral capability of PCD-CT can provide accurate, simultaneous multi-energy images for material decomposition and VMI generation using a single exposure.
- Published
- 2023
- Full Text
- View/download PDF
64. Transition to body-centered cubic structure in Au thin films under electron-beam irradiation
- Author
-
Sung Bo Lee, Jinwook Jung, and Heung Nam Han
- Subjects
Polymers and Plastics ,Metals and Alloys ,Ceramics and Composites ,Electronic, Optical and Magnetic Materials - Published
- 2023
- Full Text
- View/download PDF
65. Reconfiguring Cache Associativity: Adaptive Cache Design for Wide-Range Reliable Low-Voltage Operation Using 7T/14T SRAM.
- Author
-
Jinwook Jung, Yohei Nakata, Shunsuke Okumura, Hiroshi Kawaguchi 0001, and Masahiko Yoshimoto
- Published
- 2013
- Full Text
- View/download PDF
66. Quantifying the hydrodynamic limit of Vlasov-type equations with alignment and nonlocal forces
- Author
-
José A. Carrillo, Young-Pil Choi, and Jinwook Jung
- Subjects
Physics ,Collective behavior ,Mathematical and theoretical biology ,Kullback–Leibler divergence ,Applied Mathematics ,010102 general mathematics ,Vlasov equation ,82C40, 35B40 ,Type (model theory) ,01 natural sciences ,Euler equations ,010101 applied mathematics ,symbols.namesake ,Mathematics - Analysis of PDEs ,Classical mechanics ,Kinetic equations ,Modeling and Simulation ,FOS: Mathematics ,symbols ,Limit (mathematics) ,0101 mathematics ,Analysis of PDEs (math.AP) - Abstract
In this paper, we quantify the asymptotic limit of collective behavior kinetic equations arising in mathematical biology modeled by Vlasov-type equations with nonlocal interaction forces and alignment. More precisely, we investigate the hydrodynamic limit of a kinetic Cucker–Smale flocking model with confinement, nonlocal interaction, and local alignment forces, linear damping and diffusion in velocity. We first discuss the hydrodynamic limit of our main equation under strong local alignment and diffusion regime, and we rigorously derive the isothermal Euler equations with nonlocal forces. We also analyze the hydrodynamic limit corresponding to strong local alignment without diffusion. In this case, the limiting system is pressureless Euler-type equations. Our analysis includes the Coulomb interaction potential for both cases and explicit estimates on the distance towards the limiting hydrodynamic equations. The relative entropy method is the crucial technology in our main results, however, for the case without diffusion, we combine a modulated macroscopic kinetic energy with the bounded Lipschitz distance to deal with the nonlocality in the interaction forces. The existence of weak and strong solutions to the kinetic and fluid equations is also obtained. We emphasize that the existence of global weak solution with the needed free energy dissipation for the kinetic model is established.
- Published
- 2021
- Full Text
- View/download PDF
67. NIRS-SPM: Statistical parametric mapping for near-infrared spectroscopy.
- Author
-
Jong Chul Ye, Sungho Tak, Kwang Eun Jang, Jinwook Jung, and Jaeduck Jang
- Published
- 2009
- Full Text
- View/download PDF
68. A Study on the Importance and Performance Analysis of Elementary School Teacher's Roles on Online Teaching
- Author
-
Ko, Hyunkook, Park, Hyeonjin, Jinwook Jung, Jang, Yongsu, Ryu, Myounghee, and Kwon Dongtaik
- Subjects
Online teaching ,Mathematics education ,Psychology - Published
- 2020
- Full Text
- View/download PDF
69. The Structural Relationships among Basic life-habit, Learning Attitude, and Self-directed Learning Ability of Elementary School Students
- Author
-
Jang, Yongsu, Kwon Dongtaik, Sungkyunghwan, and Jinwook Jung
- Subjects
Life habit ,Autodidacticism ,Psychology ,Developmental psychology - Published
- 2020
- Full Text
- View/download PDF
70. Emergence of the Consensus and Separation in an Agent-Based Model With Attractive and Singular Repulsive Forces
- Author
-
Shi Jin and Jinwook Jung
- Subjects
Agent-based model ,Physics ,Classical mechanics ,Interacting particle system ,Modeling and Simulation ,0103 physical sciences ,Separation (aeronautics) ,01 natural sciences ,Analysis ,Collision avoidance ,010305 fluids & plasmas - Abstract
In this paper, we study an agent-based interacting particle system with attractive and singular repulsive forces. We prove the collision avoidance between particles from different groups due to rep...
- Published
- 2020
- Full Text
- View/download PDF
71. Recent progress in controlled nano/micro cracking as an alternative nano-patterning method for functional applications
- Author
-
Kyun Kyu Kim, Sukjoon Hong, Junyeob Yeo, Jinwook Jung, Seung Hwan Ko, and Young Duk Suh
- Subjects
Materials science ,Microfluidics ,Nanotechnology ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Cracking ,Level of Effort ,Fabrication methods ,Nano ,General Materials Science ,0210 nano-technology - Abstract
Generally, cracking occurs for many reasons connected to uncertainties and to the non-uniformity resulting from intrinsic deficiencies in materials or the non-linearity of applied external (thermal, mechanical, etc.) stresses. However, recently, an increased level of effort has gone into analyzing the phenomenon of cracking and also into methods for controlling it. Sophisticated manipulation of cracking has yielded various cutting-edge technologies such as transparent conductors, mechanical sensors, microfluidics, and energy devices. In this paper, we present some of the recent progress that has been made in controlling cracking by giving an overview of the fabrication methods and working mechanisms used for various mediums. In addition, we discuss recent progress in the various applications of methods that use controlled cracking as an alternative to patterning tools.
- Published
- 2020
- Full Text
- View/download PDF
72. Local Sensitivity Analysis for the Kuramoto--Daido Model with Random Inputs in a Large Coupling Regime
- Author
-
Jinwook Jung, Seung-Yeal Ha, and Shi Jin
- Subjects
Applied Mathematics ,Kuramoto model ,Mathematical analysis ,01 natural sciences ,010101 applied mathematics ,Computational Mathematics ,Coupling (physics) ,Synchronization (computer science) ,Statistical physics ,Sensitivity (control systems) ,0101 mathematics ,Uncertainty quantification ,Analysis ,Mathematics - Abstract
Synchronization phenomena are ubiquitous in strongly correlated oscillatory systems, and the Kuramoto model serves as a prototype synchronization model for phase-coupled oscillators. In this paper,...
- Published
- 2020
- Full Text
- View/download PDF
73. A local sensitivity analysis for the hydrodynamic Cucker-Smale model with random inputs
- Author
-
Shi Jin, Woojoo Shim, Seung-Yeal Ha, and Jinwook Jung
- Subjects
Weight function ,Flocking (behavior) ,Applied Mathematics ,Physics::Space Physics ,Macroscopic model ,Applied mathematics ,Analysis ,Mathematics ,Ansatz - Abstract
We present a local sensitivity analysis for the hydrodynamic Cucker-Smale (HCS) model with random inputs. In the absence of random inputs, the HCS model was derived as a macroscopic model for the emergent dynamics of the CS flocking ensemble from the kinetic CS model via the moment method and mono-kinetic ansatz for a closure condition. In this paper, we incorporate the uncertain effects together with the HCS model to result in the random HCS model. For definiteness, we consider the uncertainties in initial data and communication weight function. For this random HCS model, we perform local sensitivity estimates such as the propagation of pathwise well-posedness, pathwise L 2 -stability and flocking estimates of solution process.
- Published
- 2020
- Full Text
- View/download PDF
74. OWARU: free space-aware timing-driven incremental placement.
- Author
-
Jinwook Jung, Gi-Joon Nam, Lakshmi N. Reddy, Iris Hui-Ru Jiang, and Youngsoo Shin
- Published
- 2016
- Full Text
- View/download PDF
75. OpenDesign flow database: the infrastructure for VLSI design and design automation research.
- Author
-
Jinwook Jung, Iris Hui-Ru Jiang, Gi-Joon Nam, Victor N. Kravets, Laleh Behjat, and Yih-Lang Li
- Published
- 2016
- Full Text
- View/download PDF
76. Lattice shear and non-random rotation of Au nanoparticles under electron-beam irradiation
- Author
-
Sung Bo Lee, Sung-Gyu Kang, Jinwook Jung, Simoon Sung, Seung Jo Yoo, and Heung Nam Han
- Subjects
Polymers and Plastics ,Metals and Alloys ,Ceramics and Composites ,Electronic, Optical and Magnetic Materials - Published
- 2022
- Full Text
- View/download PDF
77. Bake hardening and uniaxial tensile behavior in a low carbon steel accompanying inhomogeneous plastic yielding
- Author
-
Woojin Cho, Byeong-Seok Jeong, Eunjoo Shin, Siwook Park, Jinwook Jung, Hyuntaek Na, Sung-Il Kim, and Heung Nam Han
- Subjects
Mechanics of Materials ,Mechanical Engineering ,General Materials Science ,Condensed Matter Physics - Published
- 2022
- Full Text
- View/download PDF
78. Deep learning-based indentation plastometry in anisotropic materials
- Author
-
Kyeongjae Jeong, Kyungyul Lee, Siwhan Lee, Sung-Gyu Kang, Jinwook Jung, Hyukjae Lee, Nojun Kwak, Dongil Kwon, and Heung Nam Han
- Subjects
Mechanics of Materials ,Mechanical Engineering ,General Materials Science - Published
- 2022
- Full Text
- View/download PDF
79. Migration Pinning and Roughening Transition of a Ni Grain Boundary
- Author
-
Heung Nam Han, Seung Jo Yoo, Jinwook Jung, and Sung Bo Lee
- Subjects
Materials science ,Structural material ,Condensed matter physics ,Metallurgy ,Metals and Alloys ,Condensed Matter Physics ,Kinetic energy ,Surface energy ,Grain size ,Mechanics of Materials ,Grain boundary ,Crystallite ,Anisotropy ,Pinning force - Abstract
To date, much research has been conducted into the effect of migration pinning on the grain size in polycrystalline materials. However, effects of migration pinning on the grain-boundary structure and its transition have not been illuminated. Here, using transmission electron microscopy (TEM) we have explored the pinning effects for the grain boundary in a Ni bicrystal. During TEM specimen preparation, a hole was intentionally drilled in the middle of the grain boundary as a pinning point against grain-boundary migration. The specimen was heated to 600 °C. The grain boundary is driven to migrate by both the surface energy anisotropy and the total strain energy reduction. Grain-boundary facets with a plane orientation of {0 3 2}//{1 1 1} appear near the hole. The facets undergo a structural transition from atomically flat to rough with increasing distance from the hole. A pinning force exerted by the hole suppresses the migration of the grain boundary near the hole, indicating that the grain-boundary region away from the hole is subjected to a higher driving force. It certainly appears that the phenomenon originates from a change in driving force with the distance from the hole, being a signature of kinetic roughening.
- Published
- 2019
- Full Text
- View/download PDF
80. Directional Shape Morphing Transparent Walking Soft Robot
- Author
-
Kyu-Jin Cho, Habeom Lee, Hyunmin Cho, Junyeob Yeo, Jinwook Jung, Phillip Won, Inho Ha, Hyeonseok Kim, Sukjoon Hong, Seungyong Han, Seung Hwan Ko, and Jinhyeong Kwon
- Subjects
0209 industrial biotechnology ,Computer science ,Biophysics ,02 engineering and technology ,Transparency (human–computer interaction) ,021001 nanoscience & nanotechnology ,Robot control ,Impression ,Morphing ,020901 industrial engineering & automation ,Artificial Intelligence ,Control and Systems Engineering ,Proof of concept ,Electronic engineering ,Robot ,Electronics ,0210 nano-technology ,Actuator - Abstract
Transparency in electronics can provide extra functionality and esthetic impression. Transparency plays an important role in accurate soft robot control because one can directly observe target surface condition that is usually blocked by a robot's body. Nowadays, demand for soft actuators has been rapidly increasing because soft robots have attracted much attention recently. However, conventional soft actuators are usually nontransparent with simple isotropic bending, limited performance, and limited functionality. To overcome such limitations of current soft robots, we developed a novel soft shape morphing thin film actuator with new functionalities such as high transparency and unique directional responses to allow complex behavior by integrating a transparent metal nanowire heater. A figure of merit was developed to evaluate the performance and derive an optimum design configuration for the transparent actuator with enhanced performance. As a proof of concept, various transparent soft robots such as transparent gripper, Venus flytrap, and transparent walking robot were demonstrated. Such transparent directional shape morphing actuator is expected to open new application fields and functionalities overcoming limitations of current soft robots.
- Published
- 2019
- Full Text
- View/download PDF
81. Emergent behaviors of the swarmalator model for position-phase aggregation
- Author
-
Seung-Yeal Ha, Jeongho Kim, Xiongtao Zhang, Jinwook Jung, and Jinyeong Park
- Subjects
010101 applied mathematics ,Computer science ,Applied Mathematics ,Modeling and Simulation ,0103 physical sciences ,sync ,Swarm behaviour ,Statistical physics ,0101 mathematics ,01 natural sciences ,010305 fluids & plasmas - Abstract
We study emergent dynamics of the swarmalator model [K. P. O’Keeffe, H. Hong and S. H. Strogatz, Oscillators that sync and swarm, Nature Commun. 8 (2017) 1504] describing the dynamic interplay of aggregation and synchronization dynamics for interacting many-particle systems. For the particle aggregation, we employ the nonlinear aggregation system with singular attractive–repulsive couplings depending on the phase differences, while we use the Kuramoto-type model with the singular coupling strength depending on the spatial distances for the dynamics of phases. We show how collective behaviors emerge from the dynamic interplay between position aggregation and phase synchronization. We introduce some sufficient framework leading to the positive minimal relative distances between particles and its uniform upper bound. We also show the convergence of position under some sufficient conditions.
- Published
- 2019
- Full Text
- View/download PDF
82. Cut Optimization for Redundant Via Insertion in Self-Aligned Double Patterning
- Author
-
Daijoon Hyun, Jinwook Jung, Youngsoo Shin, Youngsoo Song, and Jingon Lee
- Subjects
Computer science ,0211 other engineering and technologies ,02 engineering and technology ,Computer Graphics and Computer-Aided Design ,Signal ,020202 computer hardware & architecture ,Computer Science Applications ,Mask set ,0202 electrical engineering, electronic engineering, information engineering ,Multiple patterning ,Electrical and Electronic Engineering ,Integer programming ,Algorithm ,021106 design practice & management ,Slightly worse - Abstract
Redundant via (RV) insertion helps prevent via defects and hence leads to yield enhancement. However, RV insertion in self-aligned double patterning (SADP) processes is challenging since cut optimization has to be considered together. In SADP, parallel one-dimensional metal lines are divided into signal wires and dummy wires by line-end cuts. If an RV is inserted, signal wires need to be extended to connect to the RV. To this end, an additional cut, which we call RV cut, is introduced to make a space for the extension. Since RV cuts and line-end cuts are manufactured with the same mask set, design rules between those cuts have to be honored, which incurs proper distribution and mask assignment to individual cuts. In this article, we address a problem of integrated RV insertion and cut optimization. We show that the problem can be formulated as an integer linear programming (ILP). We also propose a heuristic algorithm is presented for practical application, in which potential locations of RVs are first identified and used to properly insert as many RVs as possible while minimizing the conflict between RV cuts. Our experimental results demonstrate that 75% of vias receive RVs with 8% increase in total wire length, which is only slightly worse than the optimal result obtained by ILP.
- Published
- 2019
- Full Text
- View/download PDF
83. Practical microstructure-informed dual-scale simulation for predicting hole expansion failure of hyper-burring steel
- Author
-
Jinwook Jung, Sung-Il Kim, Heung Nam Han, Kyung Il Kim, Kyu Hwan Oh, Hwangsun Kim, Myoung-Gyu Lee, and Siwook Park
- Subjects
Materials science ,Mechanical Engineering ,02 engineering and technology ,Mechanics ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Finite element method ,Stress (mechanics) ,020303 mechanical engineering & transports ,0203 mechanical engineering ,Mechanics of Materials ,Fracture (geology) ,Representative elementary volume ,Formability ,General Materials Science ,Deformation (engineering) ,Dislocation ,0210 nano-technology ,Microscale chemistry ,Civil and Structural Engineering - Abstract
A practical dual-scale finite element model is developed to enable the formability prediction in the hole expansion of a hyper-burring steel sheet. This numerical approach resorts to the isotropic macroscale hole expansion simulation for calculating the deformation histories near the hole edge, since they are known to be the potential fracture initiation site. The deformation histories are used as boundary conditions in the lower microscale model for calculating the local fracture of the steel sheet. The microscale simulation utilizes the dislocation density based constitutive model and a microstructure-based representative volume element (RVE), with realistic grain morphology taken from experimental microscopy. The fracture initiation at the hole edge region is evaluated from the microscale simulation using four frequently employed uncoupled ductile fracture models, which enable the definition of the critical fracture strain. The proposed dual-scale model can better predict the failure initiation and location near the hole edge when the modeling parameters are calibrated taking into account not only the deformation histories of the hole edge, but also the local stress triaxiality. Moreover, the proposed dual-scale model is applied to analyze the microstructure effect on the hole expansion ratio by providing the insights into the effect of grain size and grain boundary characteristics.
- Published
- 2019
- Full Text
- View/download PDF
84. Effects of coherency strain on structure and migration of a coherent grain boundary in Cu
- Author
-
Sung Bo Lee, Heung Nam Han, Jinwook Jung, Yanghoo Kim, and Seung Jo Yoo
- Subjects
010302 applied physics ,Materials science ,Condensed matter physics ,Mechanical Engineering ,Coherency strain ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Surface energy ,Mechanics of Materials ,Transmission electron microscopy ,Lattice (order) ,0103 physical sciences ,General Materials Science ,Grain boundary ,0210 nano-technology ,Anisotropy ,Normal - Abstract
In situ high-resolution transmission electron microscopy of atomic structures of a coherent grain boundary is reported in a Cu bicrystal specimen composed of two grains with surface normal directions of [1 0 0] and [1 1 0]. Although the surface energy anisotropy favors the growth of the [1 0 0]-oriented grain, grain-boundary migration does not occur. Instead, inside the [1 0 0]-oriented grain standing off the grain boundary is a kind of coherent interface observed. We relate these observations to the development of a coherent strain due to a lattice misfit at the grain boundary.
- Published
- 2019
- Full Text
- View/download PDF
85. Moiré-Free Imperceptible and Flexible Random Metal Grid Electrodes with Large Figure-of-Merit by Photonic Sintering Control of Copper Nanoparticles
- Author
-
Sukjoon Hong, Jinwook Jung, Dongkwan Kim, Jaeho Shin, Daeho Lee, Hyeonseok Kim, Jinhyeong Kwon, Seok Hwan Choi, Seung Hwan Ko, Young Duk Suh, Yeosang Yoon, Jinwoo Lee, and Hyunmin Cho
- Subjects
Defogger ,Materials science ,business.industry ,02 engineering and technology ,Substrate (electronics) ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,law.invention ,Indium tin oxide ,Vacuum deposition ,law ,Electrode ,Figure of merit ,Optoelectronics ,General Materials Science ,Photolithography ,0210 nano-technology ,business ,Transparent conducting film - Abstract
Flexible micro/nano metal grid transparent conductors emerged as an alternative to the fragile/rigid indium tin oxide electrode. They are usually fabricated by the combination of the conventional photolithography and the vacuum deposition of regular metal grid patterns, however, seriously suffer from moiré and starburst problems induced by periodic regular pattern structures. In this paper, we demonstrated flexible and imperceptible random copper microconductors with an extremely high figure-of-merit (∼2000) by the thermal conduction layer-assisted photonic sintering of copper nanoparticles without damages in the plastic substrate. This process can be easily applied to complicated structures and surfaces including a random pattern which is imperceptible and free of interferences. As a proof-of-concept, a transparent windshield defogger in a car was demonstrated with a Cu transparent random conductor at an extreme and reversible fogging state.
- Published
- 2019
- Full Text
- View/download PDF
86. Global dynamics of the thermomechanical Cucker–Smale ensemble immersed in incompressible viscous fluids
- Author
-
Jeongho Kim, Jinwook Jung, Young-Pil Choi, and Seung-Yeal Ha
- Subjects
Applied Mathematics ,010102 general mathematics ,Dynamics (mechanics) ,General Physics and Astronomy ,Statistical and Nonlinear Physics ,A priori estimate ,Mechanics ,Kinetic energy ,01 natural sciences ,Exponential function ,Physics::Fluid Dynamics ,010101 applied mathematics ,Strong solutions ,Drag ,Compressibility ,Uniqueness ,0101 mathematics ,Mathematical Physics ,Mathematics - Abstract
We study a global dynamics of the thermomechanical Cucker–Smale (TCS) particles immersed in incompressible viscous fluid. For this, we use a coupled system consisting of kinetic TCS equation for particles and incompressible Navier–Stokes for fluid via a drag force in three dimensions. We first show the global existence of weak solutions for large data, and then establish the global existence and uniqueness of strong solutions under a smallness assumption on the initial data. We also provide a priori estimate of large-time behavior of strong solutions which exhibits an exponential alignment between TCS particles and fluid asymptotically.
- Published
- 2019
- Full Text
- View/download PDF
87. Integrated Latch Placement and Cloning for Timing Optimization
- Author
-
Gi-Joon Nam, Jinwook Jung, Woohyun Chung, and Youngsoo Shin
- Subjects
Cloning (programming) ,Computer science ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Worst negative slack ,Computer Graphics and Computer-Aided Design ,020202 computer hardware & architecture ,Computer Science Applications ,Set (abstract data type) ,Power consumption ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,020201 artificial intelligence & image processing ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Electrical and Electronic Engineering ,Arithmetic ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
This article presents an algorithm for integrated timing-driven latch placement and cloning. Given a circuit placement, the proposed algorithm relocates some latches while circuit timing is improved. Some latches are replicated to further improve the timing; the number of replicated latches along with their locations are automatically determined. After latch cloning, each of the replicated latches is set to drive a subset of the fanouts that have been driven by the original single latch. The proposed algorithm is then extended such that relocation and cloning are applied to some latches together with their neighbor logic gates. Experimental results demonstrate that the worst negative slack and the total negative slack are improved by 24% and 59%, respectively, on average of test circuits. The negative impacts on circuit area and power consumption are both marginal, at 0.7% and 1.9% respectively.
- Published
- 2019
- Full Text
- View/download PDF
88. Stretchable/flexible silver nanowire electrodes for energy device applications
- Author
-
Seungyong Han, Dongkwan Kim, Sukjoon Hong, Recep Yuksel, Junyeob Yeo, Hyunmin Cho, Jinwook Jung, Seung Hwan Ko, Habeom Lee, Jinhyeong Kwon, Phillip Lee, and Husnu Emrah Unalan
- Subjects
Fabrication ,Materials science ,Stretchable electronics ,Nanotechnology ,02 engineering and technology ,Silver nanowires ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Energy device ,0104 chemical sciences ,Active layer ,Percolation ,Electrode ,General Materials Science ,Electronic conductivity ,0210 nano-technology - Abstract
Research on sustainable and high-efficiency energy devices has recently emerged as an important global issue. These devices are now moving beyond the form of a bulk, rigid platform to a portable, flexible/stretchable format that is easily available in our daily lives. Similar to the development of an active layer for the production of next-generation energy devices, the fabrication of flexible/stretchable electrodes for the easy flow of electrons is also very important. Silver nanowire electrodes have high electronic conductivity even in a flexible/stretchable state due to their high aspect ratio and percolation network structures compared to conventional electrodes. Herein, we summarize the research in the field of flexible/stretchable electronics on energy devices fabricated using silver nanowires as the electrodes. Additionally, for a systematic presentation of the current research trends, this review classifies the surveyed research efforts into the categories of energy production, storage, and consumption.
- Published
- 2019
- Full Text
- View/download PDF
89. A local sensitivity analysis for the kinetic Kuramoto equation with random inputs
- Author
-
Shi Jin, Seung-Yeal Ha, and Jinwook Jung
- Subjects
Statistics and Probability ,Physics ,Applied Mathematics ,Kuramoto model ,General Engineering ,Phase (waves) ,Probability density function ,Parameter space ,Coupling (probability) ,Stability (probability) ,Computer Science Applications ,Sensitivity (control systems) ,Statistical physics ,Uncertainty quantification - Abstract
We present a local sensivity analysis for the kinetic Kuramoto equation with random inputs in a large coupling regime. In our proposed random kinetic Kuramoto equation (in short, RKKE), the random inputs are encoded in the coupling strength. For the deterministic case, it is well known that the kinetic Kuramoto equation exhibits asymptotic phase concentration for well-prepared initial data in the large coupling regime. To see a response of the system to the random inputs, we provide propagation of regularity, local-in-time stability estimates for the variations of the random kinetic density function in random parameter space. For identical oscillators with the same natural frequencies, we introduce a Lyapunov functional measuring the phase concentration, and provide a local sensitivity analysis for the functional.
- Published
- 2019
- Full Text
- View/download PDF
90. RaPiD: AI Accelerator for Ultra-low Precision Training and Inference
- Author
-
Scot H. Rider, Martin Lutz, Moriyoshi Ohara, Pong-Fei Lu, Monodeep Kar, Xiao Sun, Kailash Gopalakrishnan, Jie Yang, Hoang Tran, Wei Wang, Michael A. Guillorn, Marcel Schaal, Ankur Agrawal, Xin Zhang, Joel Abraham Silberman, Sunil Shukla, Nianzheng Cao, James Bonano, Zhibin Ren, Sanchari Sen, Siyu Koswatta, Kyu-hyoun Kim, Mingu Kang, Swagath Venkataramani, Eri Ogawa, Vijayalakshmi Srinivasan, Hiroshi Inoue, Matt Ziegler, Howard M. Haynie, Shubham Jain, Vinay Velji Shah, Allison Allain, Jintao Zhang, Matthew Cohen, Jungwook Choi, Kerstin Schelm, Jinwook Oh, Li Yulong, Chia-Yu Chen, Ching Zhou, Naigang Wang, Jinwook Jung, Sae Kyu Lee, Silvia Melitta Mueller, Kazuaki Ishizaki, Bruce M. Fleischer, Michael R. Scheuermann, Vidhi Zalani, Brian W. Curran, Leland Chang, Mauricio J. Serrano, Ashish Ranjan, Alberto Mannari, and Robert Casatuta
- Subjects
Floating point ,Computer engineering ,Computer science ,Hardware acceleration ,Inference ,TOPS ,Representation (mathematics) ,Chip ,Scaling ,Energy (signal processing) - Abstract
The growing prevalence and computational demands of Artificial Intelligence (AI) workloads has led to widespread use of hardware accelerators in their execution. Scaling the performance of AI accelerators across generations is pivotal to their success in commercial deployments. The intrinsic error-resilient nature of AI workloads present a unique opportunity for performance/energy improvement through precision scaling. Motivated by the recent algorithmic advances in precision scaling for inference and training, we designed RaPiD1, a 4-core AI accelerator chip supporting a spectrum of precisions, namely, 16 and 8-bit floating-point and 4 and 2-bit fixed-point. The 36mm2 RaPiD chip fabricated in 7nm EUV technology delivers a peak 3.5 TFLOPS/W in HFP8 mode and 16.5 TOPS/W in INT4 mode at nominal voltage. Using a performance model calibrated to within 1% of the measurement results, we evaluated DNN inference using 4-bit fixed-point representation for a 4-core 1 RaPiD chip system and DNN training using 8-bit floating point representation for a 768 TFLOPs AI system comprising 4 32-core RaPiD chips. Our results show INT4 inference for batch size of 1 achieves 3 - 13.5 (average 7) TOPS/W and FP8 training for a mini-batch of 512 achieves a sustained 102 - 588 (average 203) TFLOPS across a wide range of applications.
- Published
- 2021
- Full Text
- View/download PDF
91. Still Benchmarking After All These Years
- Author
-
Stephen Yang, Ismail Bustany, Jinwook Jung, Patrick H. Madden, and Natarajan Viswanathan
- Subjects
Engineering management ,Computer science ,Research community ,Vlsi physical design ,Benchmarking - Abstract
Circuit benchmarks for VLSI physical design have been growing in size and complexity, helping the industry tackle new problems and find new approaches. In this paper, we take a look back at how benchmarking efforts have shaped the research community, consider trade-offs that have been made, and speculate on what may come next.
- Published
- 2021
- Full Text
- View/download PDF
92. 9.1 A 7nm 4-Core AI Chip with 25.6TFLOPS Hybrid FP8 Training, 102.4TOPS INT4 Inference and Workload-Aware Throttling
- Author
-
Xin Zhang, Vijayalakshmi Srinivasan, Wei Wang, Jungwook Choi, Siyu Koswatta, Mingu Kang, Li Yulong, Bruce M. Fleischer, Radhika Jain, Michael R. Scheuermann, Kerstin Schelm, Kailash Gopalakrishnan, Monodeep Kar, Zhibin Ren, Michael A. Guillorn, Swagath Venkataramani, Howard M. Haynie, Xiao Sun, Matthew M. Ziegler, Hung Tran, Sae Kyu Lee, Kyu-hyoun Kim, Joel Abraham Silberman, Martin Lutz, Silvia Melitta Mueller, Sunil Shukla, Pong-Fei Lu, Vidhi Zalani, Ching Zhou, Brian W. Curran, Vinay Velji Shah, Naigang Wang, Leland Chang, Robert Casatuta, Alyssa Herbert, Nianzheng Cao, Scot H. Rider, Marcel Schaal, Ankur Agrawal, Jinwook Oh, Jinwook Jung, James J. Bonanno, Matthew Cohen, and Chia-Yu Chen
- Subjects
Power management ,Computer architecture ,business.industry ,Computer science ,Deep learning ,Computation ,Bandwidth (computing) ,Inference ,Cloud computing ,Artificial intelligence ,Enhanced Data Rates for GSM Evolution ,business ,Chip - Abstract
Low-precision computation is the key enabling factor to achieve high compute densities (T0PS/W and T0PS/mm2) in AI hardware accelerators across cloud and edge platforms. However, robust deep learning (DL) model accuracy equivalent to high-precision computation must be maintained. Improvements in bandwidth, architecture, and power management are also required to harness the benefit of reduced precision by feeding and supporting more parallel engines to achieve high sustained utilization and optimize performance within a given product power envelope. In this work, we present a 4-core AI chip in 7nm EUV technology that exploits cutting-edge algorithmic advances for iso-accurate models in low-precision training and inference [1, 2] and aggressive circuit/architecture optimization to achieve leading-edge power-performance. The chip supports fp16 (DLFIoat16 [8]) and hybrid-fp8(hfp8) [1] formats for training and inference of DL models, as well as int4 and int2 formats for highly scaled inference.
- Published
- 2021
- Full Text
- View/download PDF
93. Fault-Criticality Assessment for AI Accelerators using Graph Convolutional Networks
- Author
-
Krishnendu Chakrabarty, Arjun Chaudhuri, Gi-Joon Nam, Jinwook Jung, and Jonti Talukdar
- Subjects
Feature engineering ,geography ,geography.geographical_feature_category ,Artificial neural network ,Computer science ,Fault tolerance ,Fault (geology) ,computer.software_genre ,Criticality assessment ,Criticality ,Graph (abstract data type) ,Data mining ,computer ,MNIST database - Abstract
Owing to the inherent fault tolerance of deep neural networks (DNNs), many structural faults in DNN accelerators tend to be functionally benign. In order to identify functionally critical faults, we analyze the functional impact of stuck-at faults in the processing elements of a 128×128 systolic-array accelerator that performs inferencing on the MNIST dataset. We present a 2-tier machine-learning framework that leverages graph convolutional networks (GCNs) for quick assessment of the functional criticality of structural faults. We describe a computationally efficient methodology for data sampling and feature engineering to train the GCN-based framework. The proposed framework achieves up to 90% classification accuracy with negligible misclassification of critical faults.
- Published
- 2021
- Full Text
- View/download PDF
94. Collective stochastic dynamics of the Cucker-Smale ensemble under uncertain communication
- Author
-
Michael Röckner, Jinwook Jung, and Seung-Yeal Ha
- Subjects
Weight function ,Applied Mathematics ,Dynamics (mechanics) ,Geodetic datum ,Flocking ,Emergence ,Kinetic energy ,Upper and lower bounds ,Multiplicative noise ,Stochastic dynamics ,Cucker-Smale model ,Stochastic kinetic Cucker-Smale equation ,Random communication ,Statistical physics ,Flocking (texture) ,Analysis ,Mathematics - Abstract
We study the collective dynamics of the Cucker-Smale (C-S) ensemble under random communication. As the effective modeling of the C-S ensemble with infinite size, we introduce a stochastic kinetic C-S equation with a multiplicative white noise. For the proposed stochastic kinetic model with a multiplicative noise, we present a global existence of strong solutions and their asymptotic flocking dynamics, when initial datum is sufficiently regular, and communication weight function has a positive lower bound. (C) 2021 Elsevier Inc. All rights reserved.
- Published
- 2021
95. Routing-free crosstalk prediction
- Author
-
Hua Xiang, Jinwook Jung, Vishnavi Chauha, Rongjian Liang, Jiang Hu, Gi-Joon Nam, Zhiyao Xie, and Yi Chen
- Subjects
Capacitive coupling ,Very-large-scale integration ,Interconnection ,Computer science ,Design flow ,02 engineering and technology ,Integrated circuit design ,Network topology ,020202 computer hardware & architecture ,Crosstalk ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,020201 artificial intelligence & image processing ,Signal integrity - Abstract
Interconnect spacing is getting increasingly smaller in advanced technology nodes, which adversely increases the capacitive coupling of adjacent interconnect wires. It makes crosstalk a significant contributor to signal integrity and timing, and it is now imperative to prevent crosstalk-induced noise and delay issues in the earlier stages of VLSI design flow. Nonetheless, since the crosstalk effect depends primarily on the switching of neighboring nets, accurate crosstalk evaluation is only viable at the late stages of design flow with routing information available, e.g., after detailed routing. There have also been previous efforts in early-stage crosstalk prediction, but they mostly rely on time-expensive trial routing. In this work, we propose a machine learning-based routing-free crosstalk prediction framework. Given a placement, we identify routing and net topology-related features, along with electrical and logical features, which affect crosstalk-induced noise and delay. We then employ machine learning techniques to train the crosstalk prediction models, which can be used to identify crosstalk-critical nets in placement stages. Experimental results demonstrate that the proposed method can instantly classify more than 70% of crosstalk-critical nets after placement with a false-positive rate of less than 2%.
- Published
- 2020
- Full Text
- View/download PDF
96. DATC RDF-2020
- Author
-
Shih-Ting Lin, Andrew B. Kahng, Iris Hui-Ru Jiang, Jinwook Jung, Mingyu Woo, Yih-Lang Li, Victor N. Kravets, and Jianli Chen
- Subjects
Router ,business.industry ,Computer science ,020208 electrical & electronic engineering ,02 engineering and technology ,computer.file_format ,Python (programming language) ,computer.software_genre ,020202 computer hardware & architecture ,Logic synthesis ,Backplane ,0202 electrical engineering, electronic engineering, information engineering ,Computer Aided Design ,Electronic design automation ,RDF ,Physical design ,Software engineering ,business ,computer ,computer.programming_language - Abstract
We describe the RDF-2020 release of the IEEE CEDA DATC Robust Design Flow (RDF). RDF-2020 extends the previous four years of DATC efforts to (i) preserve and integrate leading research codes, including from past academic contests, and (ii) provide a foundation and backplane for academic research in the RTL-to-GDS IC implementation arena. Implementation and analysis flows have been enhanced by the addition of steps including multi-bit flip-flop clustering, parasitic extraction and antenna checking, as well as a recent contest-winning global router. RDF-2020 also opens a new "Calibrations" direction to support academic research on key analyses such as extraction and timing. An open-source physical design database with Tcl/Python/C++ APIs, a flow integration into a single scriptable application, and support for the newly-opened SKY130 manufacturable PDK, are also new this year. Our paper closes with a discussion of potential future directions for the RDF effort.
- Published
- 2020
- Full Text
- View/download PDF
97. Prediction of uniaxial tensile flow using finite element-based indentation and optimized artificial neural networks
- Author
-
Oh Min Kwon, Jinwook Jung, Kyeongjae Jeong, Hyuk-Jae Lee, Dongil Kwon, and Heung Nam Han
- Subjects
Artificial neural network ,Materials science ,Taguchi orthogonal array ,02 engineering and technology ,010402 general chemistry ,01 natural sciences ,Taguchi methods ,Indentation ,Ultimate tensile strength ,lcsh:TA401-492 ,General Materials Science ,Parametric statistics ,business.industry ,Mechanical Engineering ,Finite element analysis ,Structural engineering ,021001 nanoscience & nanotechnology ,Finite element method ,0104 chemical sciences ,Mean absolute percentage error ,Mechanics of Materials ,Hyperparameter optimization ,Uniaxial tensile flow ,lcsh:Materials of engineering and construction. Mechanics of materials ,0210 nano-technology ,business ,Spherical indentation - Abstract
This study derives a uniaxial tensile flow from spherical indentation data using an artificial neural network (ANN) combined with finite element (FE) analysis. The feasibility of the FE-based simulations is confirmed through experimental indentation for various steels. Parametric studies of the FE simulation are performed to generate an ANN training database. An encoding for feature extraction and a hyperparameter optimization is implemented to design the ANN with high predictive performance. The indentation load–depth curves are converted into hardening parameters through the trained ANN. The predictive performance of the FE–ANN model using real-life indentation data is investigated in-depth with thorough error evaluation, and verified by uniaxial tensile tests. The emphasis is made that the mean absolute percentage error between the experimental and simulated indentation data is required to be meticulously controlled below 1% to accurately predict the tensile properties. The validations demonstrate that the applied FE–ANN modeling approach is very robust and captures the tensile properties well. Furthermore, the Taguchi orthogonal array (OA) method that can achieve high efficiency and fidelity with less training data is discussed. The FE–ANN model is concisely designed using the Taguchi OA method and can predict elasticity as well as plasticity.
- Published
- 2020
98. BISTLock: Efficient IP Piracy Protection using BIST
- Author
-
Peilin Song, Jinwook Jung, Krishnendu Chakrabarty, Gi-Joon Nam, and Siyuan Chen
- Subjects
010302 applied physics ,business.industry ,Computer science ,02 engineering and technology ,Integrated circuit ,01 natural sciences ,020202 computer hardware & architecture ,Power (physics) ,law.invention ,Set (abstract data type) ,Built-in self-test ,law ,Logic gate ,Embedded system ,Control system ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Key (cryptography) ,Overhead (computing) ,business - Abstract
The globalization of IC manufacturing has increased the likelihood for IP providers to suffer financial and reputational loss from IP piracy. Logic locking prevents IP piracy by corrupting the functionality of an IP unless a correct secret key is inserted. However, existing logic-locking techniques can impose significant area overhead and performance impact (delay and power) on designs. In this work, we propose BISTLock, a logic-locking technique that utilizes built-in self-test (BIST) to isolate functional inputs when the circuit is locked. We also propose a set of security metrics and use the proposed metrics to quantify BISTLock’s security strength for an open-source AES core. Our experimental results demonstrate that BISTLock is easy to implement and introduces an average of 0.74% area and no power or delay overhead across the set of benchmarks used for evaluation.
- Published
- 2020
- Full Text
- View/download PDF
99. Laser-Induced Crystalline-Phase Transformation for Hematite Nanorod Photoelectrochemical Cells
- Author
-
Heejung Kong, Jinhyeong Kwon, Jaeho Shin, Dongwoo Paeng, Sukjoon Hong, Seung Hwan Ko, Costas P. Grigoropoulos, Won Jun Jung, Junyeob Yeo, Joonghoe Dho, Jae-Hyuck Yoo, Jinwook Jung, and Santosh Ghimire
- Subjects
Materials science ,Annealing (metallurgy) ,02 engineering and technology ,Conductivity ,Photoelectrochemical cell ,Hematite ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Laser ,Tin oxide ,01 natural sciences ,0104 chemical sciences ,law.invention ,Chemical engineering ,law ,visual_art ,visual_art.visual_art_medium ,Water splitting ,General Materials Science ,Nanorod ,0210 nano-technology - Abstract
Generally, a high-temperature postannealing process is required to enhance the photoelectrochemical (PEC) performance of hematite nanorod (NR) photoanodes. However, the thermal annealing time is limited to a short duration as thermal annealing at high temperatures can result in some critical problems, such as conductivity degradation of the fluorine-doped tin oxide film and deformation of the glass substrate. In this study, selective laser processing is introduced for hematite-based PEC cells as an alternative annealing process. The developed laser-induced phase transformation (LIPT) process yields hematite NRs with enhanced optical, chemical, and electrical properties for application in hematite NR-based PEC cells. Owing to its improved properties, the LIPT-processed hematite NR PEC cell exhibits an enhanced water oxidation performance compared to that processed by the conventional annealing process. As the LIPT process is conducted under ambient conditions, it would be an excellent alternative annealing technique for heat-sensitive flexible substrates in the future.
- Published
- 2020
100. On the Cauchy problem for the pressureless Euler-Navier-Stokes system in the whole space
- Author
-
Jinwook Jung and Young-Pil Choi
- Subjects
Cauchy problem ,Applied Mathematics ,Mathematics::Analysis of PDEs ,Condensed Matter Physics ,Space (mathematics) ,Euler equations ,Physics::Fluid Dynamics ,Computational Mathematics ,symbols.namesake ,Mathematics - Analysis of PDEs ,Drag ,FOS: Mathematics ,Euler's formula ,symbols ,Compressibility ,Initial value problem ,Applied mathematics ,Uniqueness ,Mathematical Physics ,Analysis of PDEs (math.AP) ,Mathematics - Abstract
In this paper, we study the global Cauchy problem for a two-phase fluid model consisting of the pressureless Euler equations and the incompressible Navier-Stokes equations where the coupling of two equations is through the drag force. We establish the global-in-time existence and uniqueness of classical solutions for that system when the initial data are sufficiently small and regular. Main difficulties arise in the absence of pressure in the Euler equations. In order to resolve it, we properly combine the large-time behavior of classical solutions and the bootstrapping argument to construct the global-in-time unique classical solutions.
- Published
- 2020
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.