411 results on '"cleanroom"'
Search Results
2. Quadrature moment simulation of silica nanoparticles aggregation and breakage in chemical mechanical polishing
- Author
-
Kihong Park, Gyeongtae Im, Seulgi Choi, Taesung Kim, and Eungchul Kim
- Subjects
Materials science ,Breakage ,Cleanroom ,law ,General Chemical Engineering ,Chemical-mechanical planarization ,Sauter mean diameter ,Wafer ,Particle size ,Integrated circuit ,Composite material ,law.invention ,Quadrature (mathematics) - Abstract
Chemical mechanical polishing (CMP), a wafer surface planarization method, is critical in the semiconductor industry because uniform and scratch-free processing is required for a highly integrated circuit on the wafer surface. Thus, the prediction of aggregated particle size during CMP helps achieve stable and precise processing during experimental circumstances. Herein, silica nanoparticle aggregation simulation during CMP process-based Quadrature Method of Moments (QMOM) was first described using ANSYS Fluent as a computational fluid dynamics (CFD) tool. Experiments were also implemented in a 10,000 class cleanroom using a CMP polisher actually used in the semiconductor industry. Moreover, a comparison of experimental particle size measured through zeta-potential and particle size analyzer and simulated Sauter mean diameter (D32) showed that the experimental data agreed well with the simulation results.
- Published
- 2022
3. A Simple and Low-Cost Method for Fabrication of Polydimethylsiloxane Microfludic Chips
- Author
-
Zhifu Yin, Biyao Zhang, Xue Yang, Linlin Sun, and Likang Zhang
- Subjects
Fabrication ,Microchannel ,Laser ablation ,Materials science ,Polydimethylsiloxane ,Microfluidics ,Biomedical Engineering ,Bioengineering ,Nanotechnology ,02 engineering and technology ,General Chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,chemistry.chemical_compound ,chemistry ,Cleanroom ,General Materials Science ,Laser power scaling ,0210 nano-technology ,Leakage (electronics) - Abstract
The conventional fabrication methods for enrichment microfluidic devices require cleanroom, which are costly and time-consuming. Developing a facile and low-cost method to fabricate microfluidic chips could stimulate the progress of the applications of those chips. Here, we present an easy method for fabrication of a complete PDMS (Polydimethylsiloxane) microfluidic chip used for ion and protein enrichment. The method consists of three main fabrication steps: PDMS microchannels ablation by co2 laser, nation membrane deposition, and oxygen plasma assist bonding under pressure. To fabricate a desired microchannel, the laser ablation parameters, containing laser power and ablation speed, were analyzed. The parameters for oxygen plasma assist bonding were also investigated to improve the bonding quality of the chips (low dimension loss and high bonding strength). The following Rhodamine B enrichment tests demonstrate that the presented method allows fabrication of microfluidic chips with precise dimensions and leakage free.
- Published
- 2021
4. A critical review on cleanroom filtration
- Author
-
Monica Puri Sikka and Mandira Mondal
- Subjects
Pollutant ,Materials science ,business.industry ,Materials Science (miscellaneous) ,Airflow ,Industrial and Manufacturing Engineering ,law.invention ,Indoor air quality ,law ,Cleanroom ,Management of Technology and Innovation ,Depth filter ,Business and International Management ,Process engineering ,business ,Air quality index ,Filtration ,Air filter - Abstract
Purpose Cleanrooms are highly controlled enclosed rooms where air quality is monitored and ensured to have less contamination according to standard cleanliness level. Air filters are used to optimize indoor air quality and remove air pollutants. Filter media and filtering system are decided as per requirement. Depth filter media are mostly used in cleanroom filtrations. This paper aims to present a comprehensive review of the evolution of cleanroom filter media. It evaluates the advantages and disadvantages of air filter media. It is also studied which air filters have additional properties such as anti-microbial properties, anti-odour properties and chemical absorbent. Development and innovation of air filters and filtration techniques are necessary to improve the performance via the synergistic effect and it can be a possible avenue of future research. Design/methodology/approach This paper aims to drive the future of air filter research and development in achieving high-performance filtration with high filtration efficiency, low operational cost and high durability. Air pollutants are classified into three types: suspended particles, volatile organic pollutants and microorganisms. Technologies involved in purification are filtration, water washing purification, electrostatic precipitation and anion technology. They purify the air by running it through a filter medium that traps dust, hair, pet fur and debris. As air passes through the filter media, they function as a sieve, capturing particles. The fibres in the filter medium provide a winding path for airflow. There are different types of air filters such as the high-efficiency particulate air filter, fibreglass air filter and ultra-low particulate air filter. Findings Emerging filtration technologies and filters such as nanofibres, filters with polytetrafluoroethylene membrane are likely to become prevalent over the coming years globally. The introduction of indoor air filtration with thermal comfort can be a possible avenue of future research along with expanding indoor environment monitoring and improving air quality predictions. New air filters and filtration technologies having better performance with low cost and high durability must be developed which can restrict multiple types of pollutants at the same time. Originality/value The systematic literature review approach used in this paper highlights the emerging trends and issues in cleanroom filtration in a structured and thematic manner, enabling future work to progress as it will continue to develop and evolve.
- Published
- 2021
5. A capillary-based microfluidic device enables primary high-throughput room-temperature crystallographic screening
- Author
-
Sarah L. Perry, Om K. Shrestha, Shuo Sui, Holly Hung, Aina E. Cohen, Christina A. Kirby, J. Song, Danny Filiatreault, Raviraj Kulathila, Michelle Fodor, Sarthak Saha, Joshua McGee, Mei Xu, Wilhelm A. Weihofen, Rajiv Chopra, Jonathan Selway, and Anne M. Mulichak
- Subjects
Materials science ,Capillary action ,Microfluidics ,microfluidics ,compound screening ,Chip ,Research Papers ,Sample (graphics) ,General Biochemistry, Genetics and Molecular Biology ,X-ray diffraction ,law.invention ,Crystallography ,Cleanroom ,law ,protein crystallography ,structural biology ,Photolithography ,Protein crystallization ,Throughput (business) - Abstract
A novel capillary-based microfluidic strategy to accelerate the process of small-molecule-compound screening by room-temperature X-ray crystallography using protein crystals is reported., A novel capillary-based microfluidic strategy to accelerate the process of small-molecule-compound screening by room-temperature X-ray crystallography using protein crystals is reported. The ultra-thin microfluidic devices are composed of a UV-curable polymer, patterned by cleanroom photolithography, and have nine capillary channels per chip. The chip was designed for ease of sample manipulation, sample stability and minimal X-ray background. 3D-printed frames and cassettes conforming to SBS standards are used to house the capillary chips, providing additional mechanical stability and compatibility with automated liquid- and sample-handling robotics. These devices enable an innovative in situ crystal-soaking screening workflow, akin to high-throughput compound screening, such that quantitative electron density maps sufficient to determine weak binding events are efficiently obtained. This work paves the way for adopting a room-temperature microfluidics-based sample delivery method at synchrotron sources to facilitate high-throughput protein-crystallography-based screening of compounds at high concentration with the aim of discovering novel binding events in an automated manner.
- Published
- 2021
6. Surface-Micromachined Silicon Carbide Pirani Gauges for Harsh Environments
- Author
-
H.W. van Zeijl, L.M. Middelburg, Sten Vollebregt, Bruno Morana, Guoqi Zhang, and Jiarui Mo
- Subjects
Fabrication ,Materials science ,Pressure sensor ,02 engineering and technology ,01 natural sciences ,chemistry.chemical_compound ,silicon carbide ,Cleanroom ,0103 physical sciences ,Silicon carbide ,surface micromaching ,harsh environments ,Electrical and Electronic Engineering ,Instrumentation ,010302 applied physics ,Microelectromechanical systems ,business.industry ,021001 nanoscience & nanotechnology ,Finite element method ,MEMS ,Pirani gauge ,chemistry ,CMOS ,vacuum gauge ,Optoelectronics ,0210 nano-technology ,business - Abstract
The application of pressure sensors in harsh environments is typically hindered by the stability of the material over long periods of time. This work focuses on the design and fabrication of surface micromachined Pirani gauges which are designed to be compatible with state-of-the-art Silicon Carbide CMOS technology. Such an integrated platform would boost harsh environment compatibility while reducing the required packaging complexity. An analytical model was derived describing the design variables of the Pirani gauges followed by Finite Element Analysis. The Pirani gauges were fabricated in a CMOS compatible cleanroom with a process employing only three masks, thus suitable for mass production. The SiC-based Pirani gauge is far more competitive than the traditional Si-based Pirani gauge in terms of endurance in high-temperature environments. From 25°C to 650°C, the gauge shows a reproducible response to pressure changes and has a maximum sensitivity of $17.63~\Omega $ /Pa at room temperature, and of $1.23~\Omega $ /Pa at 650°C. Additionally, some of the gauges were demonstrated to operate at temperatures up to 750°C.
- Published
- 2021
7. Hot embossing of microfluidics in cyclic-olefin co-polymer using a wafer aligner-bonder
- Author
-
Muhammad Asif, Pierre Berini, and R. Niall Tait
- Subjects
010302 applied physics ,chemistry.chemical_classification ,Materials science ,Fabrication ,Thermoplastic ,Microfluidics ,Nanotechnology ,02 engineering and technology ,Substrate (printing) ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,medicine.disease_cause ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,chemistry ,Hardware and Architecture ,Cleanroom ,Mold ,0103 physical sciences ,medicine ,Wafer ,Electrical and Electronic Engineering ,0210 nano-technology ,Embossing - Abstract
The fabrication of robust microfluidics can be tedious, often involving the use of numerous cleanroom resources and processes. We propose a process that is easy to apply yet capable of producing precision microfluidics in polymer with high yield and high fidelity at a wafer scale. The process is centered on the use of a wafer aligner-bonder implementing a one-step hot embossing process to transfer microfluidic designs from a Si master mold onto a thermoplastic deformable substrate. The approach has the additional benefit of transferring features directly to the substrate without the need for pre-annealing or other pre-processing. Additionally, the mold used to replicate the microfluidic design can be re-used numerous times. The most important process parameters, embossing temperature, embossing pressure, embossing time and demolding temperature, were optimised. We demonstrate the process by fabricating over 340 microfluidic chips per 4-inch diameter cyclic-olefin co-polymer substrate. The approach should scale to larger wafer diameters using a wafer aligner-bonder of larger diameter platens, suitable for volume manufacturing.
- Published
- 2021
8. Printed, Wireless, Soft Bioelectronics and Deep Learning Algorithm for Smart Human–Machine Interfaces
- Author
-
Young-Tae Kwon, Musa Mahmood, Hojoong Kim, Yun-Soung Kim, Woon-Hong Yeo, and Carl Demolder
- Subjects
Materials science ,Surface Properties ,02 engineering and technology ,01 natural sciences ,Wearable Electronic Devices ,Deep Learning ,Nanomanufacturing ,Cleanroom ,Humans ,Nanotechnology ,General Materials Science ,Electronics ,Particle Size ,Wearable technology ,Flexibility (engineering) ,Bioelectronics ,business.industry ,010401 analytical chemistry ,021001 nanoscience & nanotechnology ,0104 chemical sciences ,System integration ,0210 nano-technology ,business ,Robotic arm ,Algorithm ,Algorithms - Abstract
Recent advances in flexible materials and wearable electronics offer a noninvasive, high-fidelity recording of biopotentials for portable healthcare, disease diagnosis, and machine interfaces. Current device-manufacturing methods, however, still heavily rely on the conventional cleanroom microfabrication that requires expensive, time-consuming, and complicated processes. Here, we introduce an additive nanomanufacturing technology that explores a contactless direct printing of aerosol nanomaterials and polymers to fabricate stretchable sensors and multilayered wearable electronics. Computational and experimental studies prove the mechanical flexibility and reliability of soft electronics, considering direct mounting to the deformable human skin with a curvilinear surface. The dry, skin-conformal graphene biosensor, without the use of conductive gels and aggressive tapes, offers an enhanced biopotential recording on the skin and multiple uses (over ten times) with consistent measurement of electromyograms. The combination of soft bioelectronics and deep learning algorithm allows classifying six classes of muscle activities with an accuracy of over 97%, which enables wireless, real-time, continuous control of external machines such as a robotic hand and a robotic arm. Collectively, the comprehensive study of nanomaterials, flexible mechanics, system integration, and machine learning shows the potential of the printed bioelectronics for portable, smart, and persistent human-machine interfaces.
- Published
- 2020
9. Modeling the performance of air filters for cleanrooms using lattice Boltzmann method
- Author
-
Xiao Wu, Bin Zhou, Long Chen, Jia-Qi Fan, and Lan Zhu
- Subjects
Materials science ,Numerical analysis ,0211 other engineering and technologies ,Lattice Boltzmann methods ,02 engineering and technology ,Building and Construction ,Mechanics ,law.invention ,Quality (physics) ,Indoor air quality ,Cleanroom ,law ,021105 building & construction ,021108 energy ,Fiber ,Filtration ,Energy (miscellaneous) ,Air filter - Abstract
Air filters with a low resistance, high filtration efficiency, and long lifetime are important to ensure good indoor air quality. In this study, the lattice Boltzmann method is applied on six types of fibrous filter media with lognormal-distribution models, which consider the influence of the solid fraction, number of fibers, and average fiber diameter. The influences of the filtration velocity and fiber layout on the resistance, efficiency, and quality factor are discussed. The resistance is found to be relatively low when the solid fraction inside the filter media is uniformly distributed. The filter media with a random lognormal-distribution model demonstrated the best filtration performance in terms of quality factor. However, when the solid fraction is uniform along the thickness of the filter media, the comprehensive filtration performance is the best when a small fiber is near the inlet and a large one is close to the outlet. This study provides a viable numerical method for performance optimization of air-filtration devices for the next-generation cleanroom industry.
- Published
- 2020
10. Application of a Laser Cutter to Pattern Wrinkles on Polymer Films
- Author
-
Kunal Mondal, Ying Liu, Tim Shay, Jan Genzer, and Michael D. Dickey
- Subjects
chemistry.chemical_classification ,Materials science ,Polymers and Plastics ,business.industry ,Process Chemistry and Technology ,Organic Chemistry ,chemistry.chemical_element ,Polymer ,Laser ,law.invention ,chemistry.chemical_compound ,chemistry ,Cleanroom ,law ,Aluminium ,Optoelectronics ,Polystyrene ,Thin film ,business ,Lithography ,Microscale chemistry - Abstract
Wrinkling of thin films is a simple way to fabricate microscale topographical structures without the use of expensive lithographic processes or clean rooms. Such wrinkles have applications in surfa...
- Published
- 2020
11. Rapid and inexpensive microfluidic electrode integration with conductive ink
- Author
-
Ali Lashkaripour, David McIntyre, and Douglas Densmore
- Subjects
Rapid prototyping ,Fabrication ,Materials science ,business.industry ,Laser cutting ,010401 analytical chemistry ,Microfluidics ,Biomedical Engineering ,3D printing ,Bioengineering ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,General Chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Biochemistry ,Capacitance ,0104 chemical sciences ,Cleanroom ,Conductive ink ,Hardware_INTEGRATEDCIRCUITS ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,0210 nano-technology ,business - Abstract
Electrode integration significantly increases the versatility of droplet microfluidics, enabling label-free sensing and manipulation at a single-droplet (single-cell) resolution. However, common fabrication techniques for integrating electronics into microfluidics are expensive, time-consuming, and can require cleanroom facilities. Here, we present a simple and cost-effective method for integrating electrodes into thermoplastic microfluidic chips using an off-the-shelf conductive ink. The developed conductive ink electrodes cost less than $10 for an entire chip, have been shown here in channel geometries as small as 75 μm by 50 μm, and can go from fabrication to testing within a day without a cleanroom. The geometric fabrication limits of this technique were explored over time, and proof-of-concept microfluidic devices for capacitance sensing, droplet merging, and droplet sorting were developed. This novel method complements existing rapid prototyping systems for microfluidics such as micromilling, laser cutting, and 3D printing, enabling their wider use and application.
- Published
- 2020
12. Biosilica slab photonic crystals as an alternative to cleanroom nanofabrication?
- Author
-
Matt P. Ashworth, Schonna R. Manning, Ana A. Santiago González, Vijaya Shanthi Paul Raj, Johannes W. Goessling, and Martin Lopez-Garcia
- Subjects
Optics and Photonics ,Materials science ,Silicon dioxide ,Nanotechnology ,02 engineering and technology ,Photonic metamaterial ,03 medical and health sciences ,chemistry.chemical_compound ,Cleanroom ,Refractive index contrast ,Physical and Theoretical Chemistry ,030304 developmental biology ,Photonic crystal ,Diatoms ,0303 health sciences ,business.industry ,Silicon Dioxide ,021001 nanoscience & nanotechnology ,Nanostructures ,Kinetics ,Nanolithography ,chemistry ,Photonics ,Crystallization ,0210 nano-technology ,business ,Biomineralization - Abstract
Photonics, the manipulation of light at nanoscale, is a key enabling technology with impact in health and energy applications, among others. In most cases photonics still relies on materials and fabrication methods inherited from other disciplines, usually requiring expensive, time-consuming and environmentally-unfriendly processes. Recent experiments demonstrated that advanced photonic materials, as complex as those known as 2.5 dimensional slab photonic crystals, also occur naturally in diatoms. These microscopic algae precipitate silicic acid from water to produce silicon dioxide membranes, relying on intracellular biomineralization mechanisms. Addressing some important aspects for the potential industrial utilization of these structures, we here propose that optical materials produced by the diatoms could serve as cost-effective and environmentally friendly alternatives to cleanroom nanofabrication. We demonstrate that photonic materials grown by the diatom species Coscinodiscus granii can be separated based on its hydrokinetic characteristics. We further show that the photonic membranes present low defect rates of ca. 1/100 unit cells and that variation in pore diameter, as observed between individual membranes, can affect the photonic properties at large, but only marginally at low refractive index contrast. Finally, we list algal culture collections operating worldwide, thus providing a global network for live diatoms and diatom materials. We discuss the feasibility and bottlenecks related to scaled-up growth for direct utilization of photonic materials from diatoms.
- Published
- 2020
13. Monitoring of Nanoscale Particles in Cleanrooms: ISO 14644-12
- Author
-
Anne Marie Dixon Heathman and David Ensor
- Subjects
Outreach ,Environmental Engineering ,Materials science ,Cleanroom ,Environmental Chemistry ,Nanotechnology ,Safety, Risk, Reliability and Quality - Abstract
The Journal of the IEST presents the second in a series of outreach articles by ISO/TC 209 leaders to foster promotion and education of the expanding body of ISO/TC 209 Standards
- Published
- 2019
14. A movable type bioelectronics printing technology for modular fabrication of biosensors
- Author
-
Jing Cheng, Han Wang, Liu Mingyang, and Muqun Yang
- Subjects
Bioelectronics ,Multidisciplinary ,Fabrication ,Materials science ,Lab-on-a-chip ,business.industry ,Science ,3D printing ,Nanotechnology ,Article ,law.invention ,Cleanroom ,law ,visual_art ,Electronic component ,Screen printing ,visual_art.visual_art_medium ,Medicine ,business ,Biomedical engineering ,Biosensor - Abstract
Biosensors have been widely used in various fields such as food industry, environmental testing and medical testing for their high sensitivity. However, current fabrication methods of biosensors, such as screen printing, micro fabrication and 3D printing suffer from complex procedures, requirement of cleanroom facility and limited fabrication materials, which significantly restrict the development and utilization of biosensors. Here, we propose a movable type bioelectronics printing method for the fabrication of biosensors by directly transferring bioelectronic materials onto various substrates using pre-fabricated molds. This simple, low-cost, yet robust method facilitates on-demand printing of master molds of partial or complete circuits on both rigid or flexible substrates. With this method, bioactive materials such as enzymes can be directly transferred onto substrates together with other electronic components, without complex modification after electrode fabrication using conventional methods. For demonstration, a dual-channel flexible electrochemical biosensor was fabricated by the movable type bioelectronics printing method for continuous monitoring of glucose and lactate. The movable type bioelectronics printing technology holds advantages of repeatability, flexibility and low cost for fabrication of biosensors on rigid and flexible substrates, as well as direct transfer printing of bioactive materials, which greatly promotes small-scale production of biosensors.
- Published
- 2021
15. Open-source Toolkit: Benchtop Carbon Fiber Microelectrode Array for Nerve Recording
- Author
-
Cynthia A. Chestek, Paras R. Patel, Lei Chen, Tianshu Dong, Albert J. Shih, Julianna M. Richie, and Elissa J. Welle
- Subjects
Materials science ,Fabrication ,General Chemical Engineering ,Population ,General Biochemistry, Genetics and Molecular Biology ,law.invention ,Printed circuit board ,law ,Cleanroom ,Carbon Fiber ,Electric Impedance ,Fiber ,Peripheral Nerves ,education ,Electrical impedance ,education.field_of_study ,General Immunology and Microbiology ,business.industry ,General Neuroscience ,Laser ,Carbon ,Electrodes, Implanted ,Electrode ,Optoelectronics ,business ,Microelectrodes - Abstract
Conventional peripheral nerve probes are primarily fabricated in a cleanroom, requiring the use of multiple expensive and highly specialized tools. This paper presents a cleanroom "light" fabrication process of carbon fiber neural electrode arrays that can be learned quickly by an inexperienced cleanroom user. This carbon fiber electrode array fabrication process requires just one cleanroom tool, a Parylene C deposition machine, that can be learned quickly or outsourced to a commercial processing facility at marginal cost. This fabrication process also includes hand-populating printed circuit boards, insulation, and tip optimization. The three different tip optimizations explored here (Nd:YAG laser, blowtorch, and UV laser) result in a range of tip geometries and 1 kHz impedances, with blowtorched fibers resulting in the lowest impedance. While previous experiments have proven laser and blowtorch electrode efficacy, this paper also shows that UV laser-cut fibers can record neural signals in vivo. Existing carbon fiber arrays either do not have individuated electrodes in favor of bundles or require cleanroom fabricated guides for population and insulation. The proposed arrays use only tools that can be used at a benchtop for fiber population. This carbon fiber electrode array fabrication process allows for quick customization of bulk array fabrication at a reduced price compared to commercially available probes.
- Published
- 2021
16. Polycarbonate Masters for Soft Lithography
- Author
-
Filippo Amadeo, Jian Zhou, Hua Gao, Ian Papautsky, and Prithviraj Mukherjee
- Subjects
Materials science ,Fabrication ,Polydimethylsiloxane ,Mechanical Engineering ,Microfluidics ,microfluidics ,soft lithography ,Nanotechnology ,Molding (process) ,Aspect ratio (image) ,Casting ,Soft lithography ,Article ,chemistry.chemical_compound ,polymer masters ,chemistry ,replica molding ,polycarbonate ,Control and Systems Engineering ,Cleanroom ,TJ1-1570 ,Mechanical engineering and machinery ,Electrical and Electronic Engineering - Abstract
Fabrication of microfluidic devices by soft lithography is by far the most popular approach due to its simplicity and low cost. The approach relies on casting of elastomers, such as polydimethylsiloxane (PDMS), on masters fabricated from photoresists on silicon substrates. These masters, however, can be expensive, complicated to fabricate, and fragile. Here we describe an optimized replica molding approach to preserve the original masters by heat molding of polycarbonate (PC) sheets on PDMS molds. The process is faster and simpler than previously reported methods and does not result in a loss of resolution or aspect ratio for the features. The generated PC masters were used to successfully replicate a wide range of microfluidic devices, including rectangular channels with aspect ratios from 0.025 to 7.3, large area spiral channels, and micropost arrays with 5 µm spacing. Moreover, fabrication of rounded features, such as semi-spherical microwells, was possible and easy. Quantitative analysis of the replicated features showed variability of <, 2%. The approach is low cost, does not require cleanroom setting or hazardous chemicals, and is rapid and simple. The fabricated masters are rigid and survive numerous replication cycles. Moreover, damaged or missing masters can be easily replaced by reproduction from previously cast PDMS replicas. All of these advantages make the PC masters highly desirable for long-term preservation of soft lithography masters for microfluidic devices.
- Published
- 2021
17. 3D-Printing Enables Fabrication of Swirl Nozzles for Fast Aerosolization of Water-Based Drugs
- Author
-
Torben S. Last, Goran Stemme, and Niclas Roxhed
- Subjects
Fabrication ,Materials science ,business.industry ,020209 energy ,Mechanical Engineering ,Inhaler ,Nozzle ,Analytical chemistry ,3D printing ,02 engineering and technology ,Spray nozzle ,020303 mechanical engineering & transports ,0203 mechanical engineering ,Cleanroom ,0202 electrical engineering, electronic engineering, information engineering ,Particle ,Electrical and Electronic Engineering ,business ,Aerosolization - Abstract
Portable inhalers are used for delivering drugs to the lung in the form of aerosols and form the standard treatment for diseases such as Asthma, COPD, and cystic fibrosis. However, for aqueous drug formulations, spray nozzle chips have so far been restricted to cleanroom manufacture due to their small feature sizes. Here we present a spring-actuated 3D-printed swirl nozzle that sprays an aqueous drug solution, matching propellant-containing inhalers in aerosolization time. The use of two-photon polymerization enables the small nozzle feature size of 100 $\mu \text{m}$ and device print times of only 4 min, making serial mass-fabrication a viable option. Our 35 bar spring-operated swirl nozzle prototype achieves mean volumetric particle sizes of 12.5 ${\mu }\text{m}$ on doses of 100 ${\mu }\text{l}$ , aerosolized in 270 ms, as fast as a propellant-driven inhaler. [2021-0002]
- Published
- 2021
18. Fabrication and characterization of a microneedle array electrode with flexible backing for biosignal monitoring
- Author
-
Jorge Lozano and Boris Stoeber
- Subjects
Materials science ,Fabrication ,0206 medical engineering ,Biomedical Engineering ,02 engineering and technology ,021001 nanoscience & nanotechnology ,020601 biomedical engineering ,Signal ,Electrocardiography ,Needles ,Cleanroom ,Electrode ,Electric Impedance ,Humans ,Biosignal ,0210 nano-technology ,Electrodes ,Molecular Biology ,Layer (electronics) ,Electrical impedance ,Skin ,Biomedical engineering ,Microfabrication - Abstract
The conventional wet electrode for recording biosignals poses many inconveniences, as it requires an electrolytic gel that dries over time changing its electrical characteristics. The skin typically needs to be abraded when the electrode is applied to record high-quality signals, requiring assistance of trained personnel for the placement of the wet electrode. Alternative electrode designs to overcome these challenges often have difficulties recording small amplitude signals or their fabrication methods are complex and expensive. This research proposes a novel design and a simple fabrication method for a dry microneedle electrode for biosignal monitoring. The electrode can record electroencephalogram and electrocardiogram signals from a human subject without electrolytic gel and it does not require skin preparation such as abrasion, making it suitable for long term measurements as opposed to the wet electrode. When applied to the skin of a human subject with an impact inserter, the electrode has a lower impedance at the skin-electrode interface yielding better signal recording compared to application by hand. The selected electrode materials provides microneedles stiff enough to cross the outmost layer of the skin, while the flexible backing of the electrode has been designed to improve the conformation of the electrode to the rounded shape of the body. The proposed fabrication method for the electrode is based on a simple mold casting process that enables batch production while also reducing the time spent in a cleanroom and the use of expensive machinery.
- Published
- 2021
19. A Low-Voltage Microwave Plasma Ionizer Without Reduced Ionizing Performance Due to Particle Fuzzballs
- Author
-
Byungjin Bae, Jingook Kim, Hyun Yoon, and Ho-Jong Hwang
- Subjects
Materials science ,business.industry ,Plasma ,Ion source ,Ionizing radiation ,Corona (optical phenomenon) ,Physics::Plasma Physics ,Cleanroom ,Physics::Space Physics ,Electrode ,Physics::Atomic and Molecular Clusters ,Optoelectronics ,Particle ,Physics::Atomic Physics ,business ,Low voltage - Abstract
A low-voltage microwave plasma ionizer without reduced ionizer performance due to particle fuzzballs is proposed. After operation for two weeks without maintenance in a cleanroom and a laboratory, the particles and ionizing performance of the plasma ionizer are measured and compared with a conventional corona ionizer.
- Published
- 2021
20. Cleanroom Air Control
- Author
-
Ganna Khoroshun, Zakhar Tatarchenko, Halyna Tatarchenko, and Mykola Diomin
- Subjects
Materials science ,Cleanroom ,Automotive engineering - Published
- 2021
21. Strategy for fast manufacturing of 3D hydrodynamic focusing multilayer microfluidic chips and its application for flow-based synthesis of gold nanoparticles
- Author
-
Michael Seidel and Yanwei Wang
- Subjects
Fabrication ,Materials science ,010401 analytical chemistry ,Microfluidics ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,ddc ,0104 chemical sciences ,Electronic, Optical and Magnetic Materials ,law.invention ,Cleanroom ,law ,Colloidal gold ,Lamination ,Materials Chemistry ,Hydrodynamic focusing ,Research Paper ,3D hydrodynamic microreactor ,Cutting plotter ,Laser and heat free ,Gold nanoparticles ,Adhesive ,0210 nano-technology ,Layer (electronics) - Abstract
Fabrication of 3D microfluidic devices is normally quite expensive and tedious. A strategy was established to rapidly and effectively produce multilayer 3D microfluidic chips which are made of two layers of poly(methyl methacrylate) (PMMA) sheets and three layers of double-sided pressure sensitive adhesive (PSA) tapes. The channel structures were cut in each layer by cutting plotter before assembly. The structured channels were covered by a PMMA sheet on top and a PMMA carrier which contained threads to connect with tubing. A large variety of PMMA slides and PSA tapes can easily be designed and cut with the help of a cutting plotter. The microfluidic chip was manually assembled by a simple lamination process.The complete fabrication process from device design concept to working device can be completed in minutes without the need of expensive equipment such as laser, thermal lamination, and cleanroom. This rapid frabrication method was applied for design of a 3D hydrodynamic focusing device for synthesis of gold nanoparticles (AuNPs) as proof-of-concept. The fouling of AuNPs was prevented by means of a sheath flow. Different parameters such as flow rate and concentration of reagents were controlled to achieve AuNPs of various sizes. The sheet-based fabrication method offers a possibility to create complex microfluidic devices in a rapid, cheap and easy way.
- Published
- 2021
22. Metalized Soft Polymers for Electromechanical Transducers on Glass Substrates
- Author
-
Dominika Wys o cka, Lei Zhang, Véronique Rochus, David Cheyns, Paul Heremans, Boshen Liang, Tim Stakenborg, and Grim Keulemans
- Subjects
chemistry.chemical_classification ,Fabrication ,Materials science ,Silicon ,Orders of magnitude (temperature) ,business.industry ,chemistry.chemical_element ,Polymer ,Velocimetry ,Piezoelectricity ,Transducer ,chemistry ,Cleanroom ,Optoelectronics ,business - Abstract
In this study, two different semiconductor-grade polymers are utilized to build up membrane-based electromechanical transducers. With three to four orders of magnitude lower modulus in comparison with silicon, the use of polymers as the vibrating membrane improves the mechanical output characteristics where larger vibration amplitude is needed. Novel processing methods, including an excimer laser-aided wafer-to-water transferring technique, have been developed for the introduction of polymers into the standard cleanroom fabrication environment. Both piezoelectric and electrostatic transducers are fabricated on glass substrates and then characterized with laser dropper velocimetry. Comparison is made to exemplify the advantages and disadvantages of using polymers with varied dynamic specifications for different applications, where the compromise between fabrication robustness and device performance is needed.
- Published
- 2021
23. Measuring barrier function in organ-on-chips with cleanroom-free integration of multiplexable electrodes
- Author
-
Loes I. Segerink, Mathieu Odijk, Douwe S. de Bruijn, Mariia Zakharova, Elsbeth G.B.M. Bossink, MESA+ Institute, and Biomedical and Environmental Sensorsystems
- Subjects
Fabrication ,Materials science ,Polydimethylsiloxane ,Biomedical Engineering ,UT-Hybrid-D ,Epithelial Cells ,Bioengineering ,General Chemistry ,Biochemistry ,Multiplexing ,Dielectric spectroscopy ,Chemistry ,chemistry.chemical_compound ,chemistry ,Cleanroom ,Dielectric Spectroscopy ,Lab-On-A-Chip Devices ,Electrode ,Electric Impedance ,Barrier integrity ,Electrodes ,Barrier function ,Biomedical engineering - Abstract
Transepithelial/transendothelial electrical resistance (TEER) measurements can be applied in organ-on-chips (OoCs) to estimate the barrier properties of a tissue or cell layer in a continuous, non-invasive, and label-free manner. Assessing the barrier integrity in in vitro models is valuable for studying and developing barrier targeting drugs. Several systems for measuring the TEER have been shown, but each of them having their own drawbacks. This article presents a cleanroom-free fabrication method for the integration of platinum electrodes in a polydimethylsiloxane OoC, allowing the real-time assessment of the barrier function by employing impedance spectroscopy. The proposed method and electrode arrangement allow visual inspection of the cells cultured in the device at the site of the electrodes, and multiplexing of both the electrodes in one OoC and the number of OoCs in one device. The effectiveness of our system is demonstrated by lining the OoC with intestinal epithelial cells, creating a gut-on-chip, where we monitored the formation, as well as the disruption and recovery of the cell barrier during a 21 day culture period. The application is further expanded by creating a blood–brain-barrier, to show that the proposed fabrication method can be applied to monitor the barrier formation in the OoC for different types of biological barriers., A cleanroom-free method to integrate electrodes for transepithelial/transendothelial electrical resistance (TEER) measurements in Organ-on-Chips (OoCs) is presented and validated for a gut and a blood-brain barrier model.
- Published
- 2021
24. Investigation of Wafer Dicing and Cleaning Processes for Die-to-die Oxide direct Bonding Technology
- Author
-
Jörg Meyer, Anke Hanisch, Iuliana Panchenko, Marcel Wieland, Gaurav Khurana, and Catharina Rudolph
- Subjects
Materials science ,business.product_category ,Cleanroom ,Plasma activation ,Surface roughness ,Die (manufacturing) ,Nanotechnology ,Wafer dicing ,Wafer ,Surface finish ,Direct bonding ,business - Abstract
A feasibility study of die-to-die (D2D) direct bonding with oxide surface is conducted using regular industrial cleanroom tools for wafer processing. The study highlights the influence of different wafer dicing, die handling and cleaning methods on the die surface quality and the quality of D2D bonding. The presented work features particle control as the biggest challenge and current bottleneck in D2D direct oxide bonding. The successful bonding of the known good dies (KGD’s) with minimum defects on the bonding surface, sufficiently clean die surface, suitable roughness and sufficiently high hydrophilicity is achieved. Techniques such as stealth dicing for wafer singulation, modified RCA-SC1 (Radio Corporation of America - Standard Clean 1) recipe for cleaning and tuned plasma activation resulted in the successful D2D direct bonding. Thus, the presented work enables various applications to benefit from higher degree of design flexibility provided by D2D direct bonding approach.
- Published
- 2021
25. Direct Semiconductor Wafer Bonding in Non-Cleanroom Environment: Understanding the Environmental Influences on Bonding
- Author
-
Takenori Naito, Nagito Takehara, Ryoichi Inoue, and Katsuaki Tanabe
- Subjects
Fabrication ,Materials science ,business.industry ,Wafer bonding ,electronics ,photonics ,semiconductor ,Electronic, Optical and Magnetic Materials ,Semiconductor ,Cleanroom ,Materials Chemistry ,Electrochemistry ,Optoelectronics ,Particle ,interface ,Wafer ,Electronics ,Photonics ,business ,device ,wafer bonding surface - Abstract
We investigated semiconductor direct wafer bonding in a regular, non-cleanroom environment to understand environmental influences on bonding characteristics. The correlations among surface treatments, particle densities, bonding strengths, and interfacial conductivities were systematically investigated. On the basis of our investigation and condition optimization, we realized direct semiconductor bonding in the regular atmosphere with high interfacial mechanical stabilities and electrical conductivities, sufficient for device applications. Furthermore, we demonstrated fabrication and operation of solar cells using the developed bonding technique, with current paths across the bonded interfaces. These results and related technical insights may be useful for a low-cost, simpler manufacture of high-performance electrical and optical devices.
- Published
- 2019
26. Functionalized water soluble nanomaterials and their applications in wirelessly destructible programmed flexible transient photodetectors
- Author
-
Sushmee Badhulika, Parikshit Sahatiya, Akash Shinde, and Anand Kadu
- Subjects
010302 applied physics ,Fabrication ,Materials science ,Graphene ,Mechanical Engineering ,Schottky barrier ,Photodetector ,Nanotechnology ,02 engineering and technology ,Photodetection ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,law.invention ,Responsivity ,Mechanics of Materials ,Cleanroom ,law ,0103 physical sciences ,General Materials Science ,Electronics ,0210 nano-technology - Abstract
Electronic systems that can respond to the user-defined circumstances from a distant location hold enormous potential in disposable devices, secured memories, restorable environmental monitoring etc. related applications which cannot be achieved by conventional silicon technology. This paper is the first demonstration of wirelessly destructible ultraviolet photodetector based on Itaconic acid functionalized water soluble ZnS on flexible polyvinyl alcohol (PVA) substrate wherein all of the device elements dissolve and/or disintegrate upon immersion in water triggered using smartphone assisted Android application. The user can wirelessly destroy the sensor anytime or the sensor can be programmed to be destroyed after performing its task. Use of graphene as both contacts as well as a transport layer enables superior photodetection while making the fabrication simple, low-cost and cleanroom free which disintegrates from the device upon dissolution in water. The fabricated transient, flexible photodetector exhibited a remarkable responsivity of 1.08 mA/W and rise time and fall times of 6.1 s and 8.23 s respectively which could be ascribed to the large electric field created at the ZnS/graphene schottky barrier. Dissolution and disintegration of the fabricated sensor demonstrate complete transience in 10 mins. The study presented here opens up numerous possibilities for applications of wireless transient photodetectors in environmental monitoring, health care, security and consumer electronics.
- Published
- 2019
27. Inkjet-printed silver films on textiles for wearable electronics applications
- Author
-
Hsuan-Ling Kao, Chun-Hsiang Chuang, Li-Chun Chang, Cheng-Lin Cho, and Hsien-Chin Chiu
- Subjects
Materials science ,Fabrication ,Bending (metalworking) ,business.industry ,Relative permittivity ,020206 networking & telecommunications ,Nanotechnology ,02 engineering and technology ,Surfaces and Interfaces ,General Chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Cleanroom ,Screen printing ,0202 electrical engineering, electronic engineering, information engineering ,Materials Chemistry ,Dissipation factor ,Wetting ,0210 nano-technology ,business ,Wearable technology - Abstract
This paper presents the fabrication of an inkjet-printed silver film on various textiles for wearable electronics applications. Screen printing an interface layer (Fabink-UV-IF1) on various textiles was required in order to fill the fabric grid and realize a smooth surface for subsequent inkjet-printed layers. The surface of the interface layer was treated by hard-baking to obtain the optimal surface wettability for inkjet printing. The surface morphologies and electrical properties at different inkjet printing conditions such as droplet spacing and number of passes were analyzed. The dynamic bending of the silver film was observed, considering the requirements for wearable applications. After developing the process of the silver film on textiles, the relative permittivity and loss tangent of the four textiles (T/C fabric, pure cotton, nylon, and cleanroom wiper) with interface layer were found, and the values were close. In addition, the electrical properties before and after water washing were nearly unchanged. The results indicate that the interface layer dominates the electrical properties of various textiles. The technology of inkjet-printed silver film on various textiles provides more freedom for achieving high resolution and rapid manufacturing for wearable electronics.
- Published
- 2019
28. SyLMAND: a microfabrication beamline with wide spectral and beam power tuning range at the Canadian Light Source
- Author
-
Garth Wells, Sven Achenbach, Chen Shen, David M. Klymyshyn, Banafsheh Moazed, Darcy T. Haluzan, Swathi Iyer, Jack Hanson, Michael Jacobs, and Venkat Subramanian
- Subjects
010302 applied physics ,Microelectromechanical systems ,Nuclear and High Energy Physics ,Radiation ,Materials science ,business.industry ,Synchrotron radiation ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Synchrotron ,law.invention ,Chopper ,Optics ,Beamline ,Cleanroom ,law ,0103 physical sciences ,Physics::Accelerator Physics ,X-ray lithography ,0210 nano-technology ,business ,Instrumentation ,Microfabrication - Abstract
SyLMAND, the Synchrotron Laboratory for Micro and Nano Devices, is a recently commissioned microfabrication bend magnet beamline with ancillary cleanroom facilities at the Canadian Light Source. The synchrotron radiation is applied to pattern high-aspect-ratio polymer microstructures used in the area of micro-electro-mechanical systems (MEMS). SyLMAND particularly focuses on spectral and beam power adjustability and large exposable area formats in an inert gas atmosphere; a rotating-disk intensity chopper allows for independent beam-power reduction, while continuous spectral tuning between 1–2 keV and >15 keV photon energies is achieved using a double-mirror system and low-atomic-number filters. Homogeneous exposure of samples up to six inches in diameter is performed in the experimental endstation, a vertically scanning precision stage (scanner) with tilt and rotation capabilities under 100 mbar helium. Commissioning was completed in late 2017, and SyLMAND is currently ramping up its user program, mostly in the areas of RF MEMS, micro-fluidics/life sciences and micro-optics.
- Published
- 2019
29. Supercapacitive Strain Sensor With Ultrahigh Sensitivity and Range
- Author
-
Serdar Sezen, Rajesh Rajamani, Xiang Cheng, and Ye Zhang
- Subjects
Supercapacitor ,Materials science ,business.industry ,Capacitive sensing ,02 engineering and technology ,Electrolyte ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,0104 chemical sciences ,Cleanroom ,Electrode ,Optoelectronics ,Sensitivity (control systems) ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Contact area ,Instrumentation - Abstract
This article develops a novel strain sensor with ultrahigh sensitivity and range that can be easily fabricated using a paper-based electrolyte and two metal pins bought from a local hardware store. No cleanroom facilities are needed. The sensor utilizes a new sensing principle consisting of a paper-based solid-state electrolyte, which deforms in response to strain and changes its contact area with a pair of electrodes. This results in a highly sensitive capacitance change in a double-layer supercapacitor. The new sensor is shown to have a monotonically increasing response for strains as large as 25%, while at the same time providing a high measurement resolution of 0.025% strain. The new sensor could enable a new generation of ubiquitous monitoring applications by replacing more expensive traditional strain sensors.
- Published
- 2019
30. Starch-Cellulose-Based Triboelectric Nanogenerator Obtained by a Low-Cost Cleanroom-Free Processing Method
- Author
-
Alexsandra Cordero, Emir Vela, Clemente Luyo, Maria Quintana, and Robert Ccorahua
- Subjects
Fabrication ,Materials science ,Starch ,Mechanical Engineering ,Nanogenerator ,Biomaterial ,Nanotechnology ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Casting ,0104 chemical sciences ,chemistry.chemical_compound ,chemistry ,Mechanics of Materials ,Cleanroom ,Nano ,General Materials Science ,0210 nano-technology ,Triboelectric effect - Abstract
To date only few low-cost bio-based materials have been reported to be useful as TENGs. However, they still keep employing costly nanofabrication techniques. Herein, a new bio-based starch-cellulose TENG is fabricated without using complex equipment for the first time. Our results showed that, depending on the film thickness, electric outputs varied from 60 mV to 300 mV per 4 cm2 area. The thicker the film, the lower the electrical outputs, and vice versa. Moreover, FTIR-ATR analysis also showed that no chemical modification was made on the surface of starch after casting. Therefore, starch remained unmodified at the time of characterization, being this performance proper of a pristine starch. In addition, though organic starch isolation, fabrication of the proposed TENG was entirely handmade, thus avoiding use of complex equipment of nano- and micro-fabrication which resulted in the development of an eco-friendly TENG with very good performance according to the state-of-the-art.
- Published
- 2019
31. Rapid prototyping of microfluidic devices by SL 3D printing and their biocompatibility study for cell culturing
- Author
-
Vincenzo Piazza, O. Moreno-Rivas, S. Marquez, and D. Hernández-Velázquez
- Subjects
010302 applied physics ,Rapid prototyping ,Materials science ,Biocompatibility ,business.industry ,Microfluidics ,3D printing ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Biocompatible material ,01 natural sciences ,law.invention ,law ,Cleanroom ,0103 physical sciences ,0210 nano-technology ,business ,Stereolithography - Abstract
In this work, we demonstrate the feasibility of creating biocompatible microfluidic devices using stereolithography (SL) 3D printing as a simple and low-cost methodology compared to conventional soft-lithography carried on at cleanroom facilities. SL printing has gained much interest for rapid prototyping of designs in the fields of jewelry, dentistry and materials engineering. Therefore, we exploit this potential in microfluidics for creating biocompatible devices using three different types of photocurable resins. The resins are: Clear standard, High Temp and Dental LT (Formlabs, USA). Special attention is dedicated to investigate the minimum feature size (MFS), roughness and design-orientation dependence of SL printed microchannels. To the best of our knowledge, cytotoxicity research of UV curable resins for SL printing has been done in literature for short incubation times (
- Published
- 2019
32. Influence of illumination spectra on DSSC performance
- Author
-
Eva Schwenzfeier-Hellkamp, Daniel Werner, Andrea Ehrmann, and Irén Juhász Junger
- Subjects
Materials science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Spectral line ,Electronic, Optical and Magnetic Materials ,law.invention ,010309 optics ,LED lamp ,Dye-sensitized solar cell ,Halogen lamp ,Cleanroom ,law ,0103 physical sciences ,Optoelectronics ,Color filter array ,Diffuse reflection ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Visible spectrum - Abstract
The possibility to create dye-sensitized solar cells (DSSCs) using nontoxic and inexpensive materials under usual lab or industrial conditions, i.e. without a cleanroom, has aroused large interest in this technology during the last decades. DSSCs are known to function well in low light or diffuse light conditions. Therefore, they could be interesting for indoor use, where the ambient light may have different spectra. In DSSCs, the visible light is absorbed by a dye molecule. The efficiency is affected by the overlapping grade of the maxima in the dye absorption spectrum and in the illumination spectrum, i.e. through an appropriate choice of dye, the efficiency can be maximized for each illumination condition. In this study the effect of the illumination spectra on the energy-conversion efficiency was investigated. DSSCs built using anthocyanin dyes were illuminated by a halogen lamp and an LED lamp with color temperatures of 3000 K and 5000 K, respectively, in combination with color filters or without them. Depending on the illumination spectra, the efficiency of the cell was found to vary between 0.06% and 0.33%, pointing out the importance of tailoring the DSSCs for the planned application.
- Published
- 2019
33. Few layer MoS2 and in situ poled PVDF nanofibers on low cost paper substrate as high performance piezo-triboelectric hybrid nanogenerator: Energy harvesting from handwriting and human touch
- Author
-
Parikshit Sahatiya, Sushmee Badhulika, and Santhosh Kannan
- Subjects
Materials science ,business.industry ,Nanogenerator ,High voltage ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Piezoelectricity ,0104 chemical sciences ,Cleanroom ,Optoelectronics ,General Materials Science ,Electronics ,0210 nano-technology ,business ,Energy harvesting ,Triboelectric effect ,Voltage - Abstract
Even though batteries have long been considered as the most conventional and efficient technology to power electronic devices, they have limited lifetime and monitoring and replacing them is a never ending task. In order to address this challenge, nanogenerators based on nanomaterials have been developed with the latest advancement being fabricating novel multi mechanism based nanogenerators which employ both piezoelectric and triboelectric nanogenerators to not only miniaturize the system but also to obtain high voltage outputs to power a wide range of devices. In this report, few layer MoS2 was grown on cellulose paper by hydrothermal method followed by the deposition of in situ poled PVDF nanofibers by electrospinning to fabricate piezo-triboelectric hybrid nanogenerator to harvest energy from simple manual activities like handwriting and human touch. The hybrid nanogenerator combined with independent bridge rectifiers generated peak voltage of ∼50 V, short circuit current of 30 nA and average power of 0.18 mW/cm2 which is remarkable and even better than most nanogenerators fabricated using sophisticated and complex cleanroom processes. Further, this report is the first demonstration of solution processed few layer MoS2 to be utilized as piezoelectric nanogenerator. The work presented here is beyond harvesting energy and opens up avenues for developing low cost paper based nanogenerators that generate electricity from simple daily life activities and is an excellent alternative to power wearable electronic devices from manual activities.
- Published
- 2018
34. Paper-Based Supercapacitive Mechanical Sensors
- Author
-
Mahdi Ahmadi, Serdar Sezen, Ye Zhang, Rajesh Rajamani, and Xiang Cheng
- Subjects
Fabrication ,Materials science ,Capacitive sensing ,Microfluidics ,lcsh:Medicine ,Nanotechnology ,02 engineering and technology ,engineering.material ,010402 general chemistry ,01 natural sciences ,Article ,Coating ,Cleanroom ,Microelectronics ,lcsh:Science ,Microelectromechanical systems ,Multidisciplinary ,business.industry ,lcsh:R ,021001 nanoscience & nanotechnology ,0104 chemical sciences ,engineering ,lcsh:Q ,0210 nano-technology ,business ,Contact area - Abstract
Paper has been pursued as an interesting substrate material for sensors in applications such as microfluidics, bio-sensing of analytes and printed microelectronics. It offers advantages of being inexpensive, lightweight, environmentally friendly and easy to use. However, currently available paper-based mechanical sensors suffer from inadequate range and accuracy. Here, using the principle of supercapacitive sensing, we fabricate force sensors from paper with ultra-high sensitivity and unprecedented configurability. The high sensitivity comes from the sensitive dependence of a supercapacitor’s response on the contact area between a deformable electrolyte and a pair of electrodes. As a key component, we develop highly deformable electrolytes by coating ionic gel on paper substrates which can be cut and shaped into complex three-dimensional geometries. Paper dissolves in the ionic gel after determining the shape of the electrolytes, leaving behind transparent electrolytes with micro-structured fissures responsible for their high deformability. Exploiting this simple paper-based fabrication process, we construct diverse sensors of different configurations that can measure not just force but also its normal and shear components. The new sensors have range and sensitivity several orders of magnitude higher than traditional MEMS capacitive sensors, in spite of their being easily fabricated from paper with no cleanroom facilities.
- Published
- 2018
35. Benchtop Carbon Fiber Microelectrode Array Fabrication Toolkit
- Author
-
Paras R. Patel, Elissa J. Welle, Albert J. Shih, Tianshu Dong, Cynthia A. Chestek, Lei Chen, and Julianna M. Richie
- Subjects
education.field_of_study ,Materials science ,Fabrication ,business.industry ,Population ,Laser ,law.invention ,Printed circuit board ,law ,Cleanroom ,Electrode ,Optoelectronics ,Fiber ,business ,education ,Electrical impedance - Abstract
BackgroundConventional neural probes are primarily fabricated in a cleanroom, requiring the use of multiple expensive and highly specialized tools.New methodWe propose a cleanroom “light” fabrication process of carbon fiber neural electrode arrays that can be learned quickly by an inexperienced cleanroom user. This carbon fiber electrode array fabrication process requires just one cleanroom tool, a parylene-c deposition machine, that can be learned quickly or outsourced to a commercial processing facility at marginal cost. Our fabrication process also includes hand-populating printed circuit boards, insulation, and tip optimization.ResultsThe three different tip optimizations explored here (Nd:YAG laser, blowtorch, and UV laser) result in a range of tip geometries and 1kHz impedances, with blowtorched fibers resulting in the lowest impedance. While previous experiments have proven laser and blowtorch electrode efficacy, this paper also shows UV laser cut fibers can record neural signals in vivo.Comparison with existing methodsExisting carbon fiber arrays either do not have individuated electrodes in favor of bundles or require cleanroom fabricated guides for population and insulation. The proposed arrays use only tools that can be used at a benchtop for fiber population.ConclusionsThis carbon fiber electrode array fabrication process allows for quick customization of bulk array fabrication at a reduced price compared to commercially available probes.
- Published
- 2021
36. Methods of Reducing Metal Damager Defect in Back End of Line for Semiconductor in 28Nm Technology
- Author
-
Hunglin Chen, Hao Guo, Yin Long, Shanshan Chen, and Kai Wang
- Subjects
Back end of line ,Outgassing ,Materials science ,FOUP ,Cleanroom ,Semiconductor device fabrication ,Metallurgy ,Wafer ,Electroplating ,Annealing (glass) - Abstract
As technology keeps shrinking to 28nm and below, airborne molecular contamination has become a critical element of cleanroom management, which would influence the performance of device, but also decrease the yield and productivity in the semiconductor manufacturing process. As we know that in the Fab, wafers are always stored in the Front-Opening-Unified-Pod (FOUP) while waiting for process. The outgassing or contamination distribution within the FOUP has a strong negative impact on wafers. So additional precautions need to be taken to prevent external contamination during FOUP door opening or closing. This study investigated that metal damager defect appeared after the Cu electroplating (ECP) process in M1 feature structure. It was susceptible that Cu seed exposing to atmosphere was easy to be damaged by ambient gas. Here we illustrated two methods to reduce the metal damager defect. Firstly, Annealing process was applied before Buried Seed deposition, which could help remove the outgassing on the surface of wafer. Secondly, using the diffuser FOUP during the process of Via Etch to ECP process, and making sure the copper was in a super pure gas environment. The experiments consistently demonstrated that using diffuser FOUP and simultaneously introducing Annealing before Buried Seed could significantly improve metal damager defect.
- Published
- 2021
37. Cleanroom and Template Free Fabrication of Single Polygonal Shaped Microneedle
- Author
-
Kazuyoshi Tsuchiya, Ganesh Kumar Mani, and Kentaro Miyachi
- Subjects
Template free ,Fabrication ,Materials science ,Microinjections ,business.industry ,Skin Absorption ,Biomedical Engineering ,Bioengineering ,General Chemistry ,Equipment Design ,Condensed Matter Physics ,Administration, Cutaneous ,Finite element method ,Drug Delivery Systems ,Sputtering ,Position (vector) ,Cleanroom ,Needles ,Skin penetration ,Square cross section ,Optoelectronics ,Humans ,General Materials Science ,business ,Skin - Abstract
For painless skin penetration, microneedles require optimal geometry due to human skin’s inherent elastic properties. The fabrication of desired shape microneedle is very critical. To our knowledge, the polygonal geometry microneedle has not been investigated before. To address this issue, in this communication, we propose a novel cleanroom free fabrication of single metal microneedle with square cross section. The microneedle was fabricated using sputtering technique without any mask or template. The morphological analysis with respect to various sputtering parameters via. Argon (Ar) pipe position, rotating speed, working pressure was discussed in detail. The microneedle geometry, its assisted pain was visualized using finite element analysis (FEM). The theoretical evaluations were subsequently compared with experimentally fabricated microneedle. This is the first step towards more rational design of polygonal microneedle geometry.
- Published
- 2021
38. A Peristaltic Micropump Based on the Fast Electrochemical Actuator: Design, Fabrication, and Preliminary Testing
- Author
-
Kechun Ma, I. V. Uvarov, Gijs J. M. Krijnen, Vitaly B. Svetovoy, P. S. Shlepakov, Artem E. Melenev, Robotics and Mechatronics, and Digital Society Institute
- Subjects
Control and Optimization ,Fabrication ,Materials science ,Microfluidics ,microfluidics ,Micropump ,02 engineering and technology ,010402 general chemistry ,01 natural sciences ,water electrolysis ,Cleanroom ,lcsh:TK1001-1841 ,micropump ,lcsh:TA401-492 ,Wafer ,business.industry ,electrochemical actuator ,021001 nanoscience & nanotechnology ,0104 chemical sciences ,lcsh:Production of electric energy or power. Powerplants. Central stations ,Control and Systems Engineering ,Optoelectronics ,lcsh:Materials of engineering and construction. Mechanics of materials ,0210 nano-technology ,business ,Actuator ,Microfabrication ,Voltage ,nanobubbles - Abstract
Microfluidic devices providing an accurate delivery of fluids at required rates are of considerable interest, especially for the biomedical field. The progress is limited by the lack of micropumps, which are compact, have high performance, and are compatible with standard microfabrication. This paper describes a micropump based on a new driving principle. The pump contains three membrane actuators operating peristaltically. The actuators are driven by nanobubbles of hydrogen and oxygen, which are generated in the chamber by a series of short voltage pulses of alternating polarity applied to the electrodes. This process guaranties the response time of the actuators to be much shorter than that of any other electrochemical device. The main part of the pump has a size of about 3 mm, which is an order of magnitude smaller in comparison with conventional micropumps. The pump is fabricated in glass and silicon wafers using standard cleanroom processes. The channels are formed in SU-8 photoresist and the membrane is made of SiNx. The channels are sealed by two processes of bonding between SU-8 and SiNx. Functionality of the channels and membranes is demonstrated. A defect of electrodes related to the lift-off fabrication procedure did not allow a demonstration of the pumping process although a flow rate of 1.5 µl/min and dosage accuracy of 0.25 nl are expected. The working characteristics of the pump make it attractive for the use in portable drug delivery systems, but the fabrication technology must be improved.
- Published
- 2021
39. Eco friendly nanofluidic platforms using biodegradable nanoporous materials
- Author
-
Seok Young Son, Junsuk Kim, Hyomin Lee, Sung Jae Kim, Seongjun Hong, and Sungmin Park
- Subjects
Multidisciplinary ,Materials science ,Nanoporous ,Science ,Microfluidics ,Nanofluidics ,Nanotechnology ,02 engineering and technology ,Ion concentration polarization ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Biocompatible material ,01 natural sciences ,Environmentally friendly ,Article ,0104 chemical sciences ,Nanolithography ,Cleanroom ,Medicine ,0210 nano-technology - Abstract
Splendid advancement of micro/nanofluidic researches in the field of bio- and chemical-analysis enables various ubiquitous applications such as bio-medical diagnostics and environmental monitoring, etc. In such devices, nanostructures are the essential elements so that the nanofabrication methods have been major issues since the last couple of decades. However, most of nanofabrication methods are sophisticated and expensive due to the requirement of high-class cleanroom facilities, while low-cost and biocompatible materials have been already introduced in the microfluidic platforms. Thus, an off-the-shelf and biodegradable material for those nanostructures can complete the concept of an eco-friendly micro/nanofluidic platform. In this work, biodegradable materials originated from well-known organisms such as human nail plate and denatured hen egg (albumen and yolk) were rigorously investigated as a perm-selective nanoporous membrane. A simple micro/nanofluidic device integrated with such materials was fabricated to demonstrate nanofluidic phenomena. These distinctive evidences (the visualization of ion concentration polarization phenomenon, ohmic/limiting/over-limiting current behavior and surface charge-governed conductance) can fulfill the requirements of functional nanostructures for the nanofluidic applications. Therefore, while these materials were less robust than nano-lithographically fabricated structures, bio-oriented perm-selective materials would be utilized as a one of key elements of the biodegradable and eco friendly micro/nanofluidic applications.
- Published
- 2021
40. Ultra-Sensitive, Real-Time Detection of HCl Using a Transportable NICE-OHMS System
- Author
-
Gregory S. Walsh, E. Anne Curtis, Christopher Lucas, Nicola C. G. Black, and Geoffrey P. Barwood
- Subjects
Fabrication ,Materials science ,Cleanroom ,business.industry ,Optoelectronics ,Microelectronics ,Ohm ,business ,Water vapor ,Ultra sensitive ,Laser light - Abstract
We report the development of a portable NICE-OHMS device with the aim of ultra-sensitive (<1 nmol/mol), real-time (<1 min) detection of HCl and monitoring of water vapor for applications in microelectronic fabrication cleanrooms.
- Published
- 2021
41. Створення прототипу багатофункціонального віддаленого робота присутності для фізичних досліджень у електроніці
- Author
-
V. M. Beresnev, O. O. Kravchuk, O. V. Glukhov, and V. A. Chekubasheva
- Subjects
робот ,Radiation ,Materials science ,інфрачервоний датчик ,media_common.quotation_subject ,чисте приміщення ,Control engineering ,robot ,фільтр Калмана ,Condensed Matter Physics ,cleanroom ,infrared sensor ,automated system ,SLAM ,мікроклімат ,Robot ,General Materials Science ,Electronics ,Kalman filter ,Function (engineering) ,автоматизована система ,microclimate ,media_common - Abstract
У статті представлені методи побудови та впровадження автоматизованої електронної системи збору та аналізу інформації про різні фізичні параметри навколишнього середовища в умовах тривалих безперервних вимірювань. Система розроблена на базі мікропроцесорних пристроїв з використанням бездротових технологій з реалізацією алгоритму EKF SLAM для локалізації місць з нерівномірним розподілом параметрів навколишнього середовища для мінімізації забруднення чистих приміщень. Крім того, вона може передавати сигнали через комп'ютер оператора до елементів управління приміщенням. Таким чином, здійснюється мінімізація факторів зовнішнього середовища для фізичних зразків та високоточного обладнання, тим самим продовжуючи термін її служби. The article presents methods for constructing and implementing an automated electronic system for collecting and analyzing information about various physical parameters of the environment under conditions of long-term continuous measurements. The system is based on microprocessor devices using wireless technologies with the implementation of the EKF SLAM algorithm for localizing places with an uneven distribution of environmental parameters to minimize pollution of cleanrooms. Also, it can then transmit signals through the operator's computer to the control elements of the room. Thus, the minimization of environmental factors for physical diseases and high-precision equipment is carried out, thereby extending its service life.
- Published
- 2021
42. Nanoscale Horizons
- Author
-
Bolin Chen, Michael D. Bartlett, Carmen L. Gomes, John A. Hondred, Zachary T. Johnson, Jonathan C. Claussen, Dohgyu Hwang, Lucas S. Hall, Bryan Van Belle, and Mechanical Engineering
- Subjects
Materials science ,Inkwell ,Graphene ,Microfluidics ,Nanotechnology ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Fluid transport ,01 natural sciences ,0104 chemical sciences ,law.invention ,Contact angle ,Cleanroom ,law ,General Materials Science ,Fluidics ,Wetting ,0210 nano-technology - Abstract
Open microfluidics have emerged as a low-cost, pumpless alternative strategy to conventional microfluidics for delivery of fluid for a wide variety of applications including rapid biochemical analysis and medical diagnosis. However, creating openmicrofluidics by tuning the wettability of surfaces typically requires sophisticated cleanroom processes that are unamenable to scalable manufacturing. Herein, we present a simple approach to develop open microfluidic platforms by manipulating the surface wettability of spin-coated graphene ink films on flexible polyethylene terephthalate via laser-controlled patterning. Wedge-shaped hydrophilic tracks surrounded by super-hydrophobic walls are created within the graphene films by scribing micron-sized grooves into the graphene with a CO2 laser. This scribing process is used to make superhydrophobic walls (water contact angle B1608) that delineate hydrophilic tracks (created through an oxygen plasma pretreatment) on the graphene for fluid transport. These allgraphene open microfluidic tracks are capable of transporting liquid droplets with a velocity of 20 mm s(-1) on a level surface and uphill at elevation angles of 78 as well as transporting fluid in bifurcating cross and tree branches. The all-graphene open microfluidic manufacturing technique is rapid and amenable to scalable manufacturing, and consequently offers an alternative pumpless strategy to conventional microfluidics and creates possibilities for diverse applications in fluid transport. National Science FoundationNational Science Foundation (NSF) [CBET-17069941, CBET-1756999, ECCS-1841649]; National Institute of Food and Agriculture, U.S. Department of AgricultureUnited States Department of Agriculture (USDA) [2016-6702125038, 2020-04109]; 3M Non-Tenured Faculty Award3M J. C. C. and C. L. G. gratefully acknowledges funding support for this work by the National Science Foundation under award number CBET-17069941, CBET-1756999 and ECCS-1841649 as well as by the National Institute of Food and Agriculture, U.S. Department of Agriculture, under award number 2016-6702125038 and 2020-04109. M. D. B. gratefully acknowledges funding support through a 3M Non-Tenured Faculty Award.
- Published
- 2021
43. Upgrade of the x-ray parallel beam facility XPBF 2.0 for characterization of silicon pore optics
- Author
-
L. Cibik, Eric Wille, Sjoerd Verhoeckx, Peter Müller, Giuseppe Vacanti, Marcos Bavdaz, Evelyn Handick, Enrico Hauser, Michael Krumrey, Maximilien J. Collon, and Nicolas M. Barrière
- Subjects
Materials science ,business.industry ,Synchrotron radiation ,law.invention ,Upgrade ,Optics ,Beamline ,Cleanroom ,law ,Focal length ,Vacuum chamber ,business ,Beam (structure) ,Monochromator - Abstract
The X-ray parallel beam facility XPBF 2.0 in the laboratory of the Physikalisch-Technische Bundesanstalt at the synchrotron radiation facility BESSY II provides a parallel beam of very low divergence, and adjustable beam sizes between about 100 μm and at least 5 mm. Further, XPBF 2.0 is equipped with a vacuum chamber with a hexapod system for accurate positioning of all silicon pore optic (SPO) sizes to be investigated, and a vertically movable CCD-based camera system to register the direct and the reflected beam at a sample to CCD distance of 12 m corresponding to the envisaged focal length of ATHENA (Advanced Telescope for High ENergy Astrophysics). Since its installation in 2016, the beamline has been constantly upgraded to improve performance and implementing the changing requirements. To accurately and constantly measure the 12 m distance, between the center of the sample (i.e. mirror module) and the CCD detector, a laser-tracker has been installed. Additionally, the cleanroom, housing the vacuum chamber, was upgraded with a cooling unit keeping the temperature at 20°C. The original phosphor screen in front of the CCD has been replaced with a new phosphor screen with different grain size and material. The next upgrade of the XPBF 2.0 is the installation of a new monochromator mirror to operate the beamline at 1.0 keV instead of 1.6 keV. This paper will present the upgrades of the X-ray parallel beam facility XPBF 2.0 and discuss next steps for characterizing SPOs with synchrotron radiation.
- Published
- 2020
44. Die to Wafer Hybrid Bonding: Multi-Die Stacking with Tsv Integration
- Author
-
Thomas Workman, Laura Wills Mirkarimi, Dominik Suwito, Kyong-Mo Bang, Gabe Guevara, Gill Fountain, Bongsub Lee, Rajesh Katkar, Cyprian Emeka Uzoh, Gao Guilian, and Jeremy Theil
- Subjects
Interconnection ,Materials science ,Cleanroom ,Hardware_INTEGRATEDCIRCUITS ,Audio time-scale/pitch modification ,Wafer ,High Bandwidth Memory ,Flip chip ,Automotive engineering ,Die (integrated circuit) ,Dram - Abstract
The Direct Bond Interconnect (DBI® Ultra) technology is a low temperature die to wafer (D2W) and die to die (D2D) hybrid bonding technology that solves many challenges with pitch scaling in advanced packaging. The ability to scale to < 1μm pitch while maintaining throughput comparable to the mass reflow flip chip process and providing improved reliability performance makes this platform technology attractive for the next generation packaging in the semiconductor industry. Two application areas which will benefit significantly in the migration from Cu μbump or Cu pillar to an all-Cu interconnect are high bandwidth memory (HBM) and compute intensive applications in 2.5D and 3D integrated solutions. A critical enabler of the D2W hybrid bonding technology in high volume manufacturing (HVM) is the availability of suitable pick and place bonders. The D2W hybrid bonding task is very similar to flip chip but require enhanced cleanliness environments with the bonder to perform ultra clean bonding. Currently, high alignment accuracy HVM bonders such as the Besi Chameo 8800 achieve approximately 3 μmalignment accuracy without sacrificing throughput and offer cleanroom environmental kits. These bonders accommodate device interconnect pitches of approximately 30 μmor larger. We target the first D2W bonding adoption in the sub-40 μmpitch range using existing flip chip bonders. Ziptronix first demonstrated the D2W hybrid bonding in 2003. Over the last five years Xperi has been systemically addressing critical challenges to bring the hybrid bonding technology for D2W applications to a manufacturing readiness. We present a review of the progress in this paper. Recently we have fabricated a test vehicle with TSVs similar to a HBM DRAM footprint to build 4-die stacks to demonstrate stacking and TSV intergration with the technology. The die is 8mm x12mm and 50 μmthick. TSV arrays include areas with up to 9480 TSV s in each die with a diameter of 5 μmon a pitch of35 μmThe fabrication of the hybrid bonding interface represents a significant simplification compared to the solder micro-bump technology. The Cu-Cu interconnectwas formed at 200°C. We share the assembly results of the 4 die stacks with TSV s in this paper.
- Published
- 2020
45. Semidamascene Interconnects for 2nm node and Beyond
- Author
-
S. Paolillo, Christopher J. Wilson, Gayle Murdoch, Zsolt Tokei, Kris Vanstreels, and Olalla Varela Pedreira
- Subjects
Interconnection ,Materials science ,Reliability (semiconductor) ,Cleanroom ,business.industry ,Etching ,Copper interconnect ,Optoelectronics ,Node (circuits) ,business ,Layer (electronics) ,Line (electrical engineering) - Abstract
In this paper we present a semidamascene integration approach for interconnect devices as an alternative to dual damascene. A Ru layer is deposited to fill vias and provide an overburden in which we will form lines using subtractive metal etching, enabling easy access to higher line aspect ratios without the need for metal CMP. Subsequent dielectric deposition forms airgaps between the lines. Devices fabricated in imec’s 300mm cleanroom have demonstrated with >80% reproducibility for line structures with 30nm metal pitch. We also present reliability results with extrapolated lifetime > 10 years and benchmark the mechanical strength of semidamascene devices to traditional dual damascene.
- Published
- 2020
46. Study of contact plates recovery from pharmaceutical cleanroom surfaces across three-time ranges
- Author
-
Tim Sandle
- Subjects
0303 health sciences ,03 medical and health sciences ,Materials science ,030306 microbiology ,Cleanroom ,technology, industry, and agriculture ,Mechanical engineering ,02 engineering and technology ,021001 nanoscience & nanotechnology ,0210 nano-technology - Abstract
Viable environmental monitoring methods remain primarily culture based. One example is with the contact plate. While the method is long-established, there remain aspects that are under-researched in relation to sampling. Factors affecting surface recovery relate to microbial adhesion, the type of surface, the sampling method and the time and pressure applied. This paper examines the effect of time, when a consistent pressure is applied, in relation to microbial recovery (for the organism Staphylococcus aureus) from two surfaces common to pharmaceutical facilities: stainless-steel and vinyl. The experimental results show that surface recovery was superior for vinyl compared with stainless-steel. For both surface types, a 20 second sampling time was shown to lead to a better recovery compared to a ten second sampling time (with a 30 second sampling time not leading to a significant improvement to the microbial surface recovery).
- Published
- 2020
47. The Role of Air Ionization in Reducing Surface Contamination by Particles in the Cleanroom
- Author
-
Scott Gehlke and Arnold J. Steinman
- Subjects
Air Ionization ,Materials science ,Cleanroom ,Environmental chemistry ,Contamination - Published
- 2020
48. MEMS based polymeric H2 S gas sensor for agricultural applications
- Author
-
Rajul Patkar, Mamta Ashwin, Maryam Shojaei Baghini, V. Ramgopal Rao, and Madhuri Vinchurkar
- Subjects
Microelectromechanical systems ,chemistry.chemical_compound ,Materials science ,chemistry ,Cleanroom ,Hydrogen sulfide ,Polyethylene terephthalate ,Humidity ,Nanotechnology ,Substrate (electronics) ,Piezoresistive effect ,Highly sensitive - Abstract
In this work, we demonstrate the development of a Microlectromechanical system (MEMS) based, cleanroom free fabricated, piezoresistive hydrogen sulfide (H 2 S) gas sensor using a flexible polyethylene terephthalate (PET) substrate. This relatively cheap, simple to use, calibration-free sensor is highly sensitive, selective and operates at ambient conditions of temperature and humidity. This gas sensor would be ideal for agricultural applications where farmers are at risk for exposure to toxic gases, particularly H 2 S exposure in manure pits and livestock work.
- Published
- 2020
49. Behavioral characteristics to airborne particles generated from commercial spray products
- Author
-
Byeongwoo Lee, Jungkwan Seo, Kyung-Duk Zoh, Kiyoung Lee, Hyunwoo Lim, Pilje Kim, Taksoo Kim, Hyojung Yoon, Jihoon Park, Daeyeop Lee, and Chungsik Yoon
- Subjects
Materials science ,010504 meteorology & atmospheric sciences ,Behavioral characteristics ,Analytical chemistry ,010501 environmental sciences ,01 natural sciences ,Scanning mobility particle sizer ,Cleanroom ,Consumer exposure model ,Spray product ,Particle Size ,lcsh:Environmental sciences ,0105 earth and related environmental sciences ,General Environmental Science ,Exposure assessment ,Propellant ,Aerosols ,lcsh:GE1-350 ,Spectrometer ,Household Products ,Inhalation exposure ,Deposition (aerosol physics) ,Air Pollution, Indoor ,Particle-size distribution ,Particle ,Airborne particle - Abstract
Commercial spray products are commonly used in daily life and airborne particles generated by these products may cause adverse health effects. Our study was aimed to characterize the behaviors of airborne particles from spray products and to determine the deposition loss rate. Four categories of spray products with highly frequent use - air fresheners, fabric deodorants, window cleaners, and a bathroom cleaner - were selected for the study. The products were applied in a cleanroom according to the instructions for use. Airborne particles (10–10,000 nm) were measured within the breathing zone of a user with a scanning mobility particle sizer and an optical particle spectrometer. Additionally, filter sampling was performed to examine the morphological characteristics of the particles using a field emission-scanning electron microscope (FE-SEM). The initial concentration and particle size distribution varied among different spray types and products. Two propellant-type air fresheners that we tested showed a high initial concentration of smaller sized particles. However, one of these and all hand-pressure type propellants showed a low initial concentration in all size ranges. We observed that particles in nucleation mode (10–31.6 nm) decreased and aggregated particles shifted to accumulation mode (100–1,000 nm) over time. The FS-SEM analysis confirmed the aggregation of nano-sized particles for all products. The deposition loss rates of various particle sizes depended on the initial concentration and distribution of particle sizes. For two air fresheners with high initial concentrations, the loss rate of small-sized particles was higher than that of the other products whereas the particle loss rate of large-sized particles was higher, regardless of initial concentration. The results of this study can give us useful information in the behaviors of airborne particles in the consumer spray products and resulting exposure assessment especially in the application to the exposure modeling of spray products.
- Published
- 2020
50. Adsorption and desorption kinetics of airborne ammonia on chromium-coated wafer in cleanroom depending on humidity and NH3 concentration
- Author
-
Sung-In Moon, Hervé Fontaine, Carlos Beitia, Jorgen Lundgren, Minh Phuong Tran, Paola González-Aguirre, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
010302 applied physics ,Materials science ,Semiconductor device fabrication ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Outgassing ,[SPI]Engineering Sciences [physics] ,Adsorption ,Chemical engineering ,13. Climate action ,Etching (microfabrication) ,Cleanroom ,Chemical-mechanical planarization ,0103 physical sciences ,Relative humidity ,Wafer ,Electrical and Electronic Engineering ,0210 nano-technology - Abstract
Ammonia (NH3) is widely used in semiconductor fabrication as an indispensable chemical substance in chemical mechanical planarization (CMP), etching and cleaning processes. The undesired outgassing of residual NH3 gas results in many severe defects on integrated circuits. The front opening unified pods (FOUPs), which are made of polymers, were introduced as a controlled-microenvironment to protect the processed wafers during transport and storage steps. However, the FOUPs potentially outgas the previous sorbed-NH3 to FOUPs' atmosphere, leading to NH3 cross-contamination. The development of NH3-free liquid phase extraction (LPE) set-up coupled to ionic chromatography (IC) analysis allows sensitive NH3 measurement on wafer surfaces in avoiding the artifacts of airborne NH3 from cleanroom environment. The adsorption and desorption kinetics of NH3 on Cr-coated surface have been determined in cleanroom conditions (21 ± 2°C, 1.0 atm, different NH3 gas concentration (10–35 ppbv) and relative humidity (
- Published
- 2020
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.