Search

Your search keyword '"espintrónica"' showing total 80 results

Search Constraints

Start Over You searched for: Descriptor "espintrónica" Remove constraint Descriptor: "espintrónica" Topic enginyeria electronica::microelectronica [arees tematiques de la upc] Remove constraint Topic: enginyeria electronica::microelectronica [arees tematiques de la upc]
80 results on '"espintrónica"'

Search Results

1. S6 - WLAN Rx PER Test Implementation in ATE

2. K4 - SiGe BiCMOS Technology with Advanced Integration Solutions for for mm-wave and THz Applications

3. POS1 - Novel Design For Test (DFT) Concept to Check the Spectral Mask Compliance Defined in the IEEE Std. 802.15.6-2012 of Wireless-Body-Area-Network (WBAN) IC-Devices

4. S6 - AMS Test Vector Generation using AMS Verification and IEEE P1687.2

5. POS2 - Concurrent Error Detection for LSTM Accelerators

6. S2 - TaintLock: Preventing IP Theft through Lightweight Dynamic Scan Encryption using Taint Bits

7. S1 - Research on Path Delay with BTI Recovery Effect

8. PFS - Tools for the Analysis of Simulation Dumps and the Evaluation of Burn-In Techniques

9. S7 - CNN-based Data-Model Co-Design for Efficient Test-termination Prediction

10. ETS 2022 SPONSORS

11. POS2 - Effective techniques for automatically improving the transition delay fault coverage of Self-Test Libraries

12. S2 - Evaluating Security of New Locking SIB-based Architectures

13. POS1 - Detection of Malicious FPGA Bitstreams using CNN-Based Learning

14. ET2 - Power Aware Test

15. S8 - On the Impact of Hardware Timing Errors on Stochastic Computing based Neural Networks

16. S1 - X-Masking for In-System Deterministic Test

17. S5 - SPINALFUZZ: Coverage-Guided Fuzzing for SpinalHDL Designs

19. PFS - Control Flow Error Detection Techniques Assessment for Embedded Software Development and Validation

20. PFS - Using ML for Back-Annotating Low-Level Effects in a System-Level Framework

21. ETS 2022 STEERING AND PROGRAM COMMITTEES

22. ETS 2021 BEST PAPER

23. SP1 - RF and mmW test activities at CEA-Leti

24. VES3 - Manufacturing and Silicon Lifecycle

25. K3 - DFX: Exploring the Design Space for Quality

26. PFS - New Solutions for Generating Functional Sequences Maximizing the Sustained Switching Activity of Complex SoCs

27. VES1 - ATE and Test Quality

28. ICS1 - Industry Case-Study Presentations Session 1

29. P1 - I Wish IJTAG would do this

30. PFS - Analysis and Simulation of Logic-In-Memory Operations

31. POS1 - Hierarchical Memory Diagnosis

32. PFS - Resiliency to Soft-Errors for Embedded Processors Using ML-based Checkers

33. POS2 - On-Chip Training of Crosstalk Predictors to Fit Uncertainties

34. S7 - An Optimized Burn-In Stress Flow targeting Interconnections logic to Embedded Memories in Automotive Systems-on-Chip

35. ETS 2022 Foreword

37. PFS - Embedded memory testing: from power measurements to defect encoding

38. PFS - Reliability Assessment of Neural Networks in GPUs

39. S2 - A Lightweight, Plug-and-Play and Autonomous JTAG Authentication IP for Secure Device Testing

40. S7 - Optimized diagnostic strategy for embedded memories of Automotive Systems-on-Chip

41. POS2 - On-Line Reliability Estimation of Ring Oscillator PUF

42. K2 - AI-Assisted Yield Learning

43. ETS 2022 Distinguished Service Award

44. POS1 - RRAM Crossbar-Based Fault-Tolerant Binary Neural Networks (BNNs)

45. POS1 - Graph Theory Approach for Multi-site ATE Board Parameter Extraction

46. POS2 - A Novel Collaborative SSD Test Case Clustering Method Associating I/O Workload and Function Coverage

47. VES3 - Testing and Analyzing Throughout the Silicon Lifecycle

48. SP3 - Impact of Atmospheric and Space Radiation on Sensitive Electronic Devices

49. ICS2 - Industry Case-Study Presentations Session 2

50. POS2 - Process and Runtime Variation Robustness for Spintronic-Based Neuromorphic Fabric

Catalog

Books, media, physical & digital resources