Search

Your search keyword '"DATA pipelining"' showing total 532 results

Search Constraints

Start Over You searched for: Descriptor "DATA pipelining" Remove constraint Descriptor: "DATA pipelining" Search Limiters Peer Reviewed Remove constraint Search Limiters: Peer Reviewed
532 results on '"DATA pipelining"'

Search Results

1. Developing and Testing High-Performance SHM Sensors Mounting Low-Noise MEMS Accelerometers.

2. Cloud-Based Infrastructure and DevOps for Energy Fault Detection in Smart Buildings.

3. BioLegato: a programmable, object-oriented graphic user interface

4. BioLegato: a programmable, object-oriented graphic user interface.

5. FPGA based Digital Filter Design for faster operations.

6. Accelerating Dynamic Programming by P-Fold Pipeline Implementation on GPU.

7. PSReliP: an integrated pipeline for analysis and visualization of population structure and relatedness based on genome-wide genetic variant data.

8. Cloud-Based Infrastructure and DevOps for Energy Fault Detection in Smart Buildings

9. Fast hardware-aware matrix-free algorithms for higher-order finite-element discretized matrix multivector products on distributed systems.

10. Multi-pipeline Processing Algorithm for Intensive Data Stream Processing of educational data using Soft Computing Techniques.

11. Improving Pipelining Tools for Pre-processing Data.

12. Data Mesh: Concepts and Principles of a Paradigm Shift in Data Architectures.

13. The bdpar Package: Big Data Pipelining Architecture for R.

14. An Adaptable Memory System Using Reconfigurable Row DRAM To Improve Performance Of Multi Core For Big Data.

15. Advances in Modern Information Technologies for Data Analysis in CRYO-EM and XFEL Experiments.

16. Using Natural Language Preprocessing Architecture (NLPA) for Big Data Text Sources.

17. Bio-electronic aggregates on Neon-Paleolitikos strata.

18. Data as an essential facility in European law: how to define the "target" market and divert the data pipeline?

19. Outbreak analytics: a developing data science for informing the response to emerging pathogens.

20. Scaling Up Modulo Scheduling for High-Level Synthesis.

21. Hardware Optimizations and Analysis for the WG-16 Cipher with Tower Field Arithmetic.

22. Feedforward-Cutset-Free Pipelined Multiply–Accumulate Unit for the Machine Learning Accelerator.

23. SDN Programming for Heterogeneous Switches with Flow Table Pipelining.

24. Triggered-Issuance and Triggered-Execution: A Control Paradigm to Minimize Pipeline Stalls in Distributed Controlled Coarse-Grained Reconfigurable Arrays.

25. Polyhedral-Based Dynamic Loop Pipelining for High-Level Synthesis.

26. Unifying Fixed Code Mapping, Communication, Synchronization and Scheduling Algorithms for Efficient and Scalable Loop Pipelining.

27. Increasing Aggregation Convergecast Data Collection Frequency through Pipelining.

28. Data Packet Processing Model based on Multi-Core Architecture.

29. P‐57: A Local Histogram Framework for Contrast Enhancement.

30. A Variable-Clock-Cycle-Path VLSI Design of Binary Arithmetic Decoder for H.265/HEVC.

31. Architecture and Synthesis for Area-Efficient Pipelining of Irregular Loop Nests.

32. Generalisation of recursive doubling for AllReduce: Now with simulation.

33. Distributed snapshot maintenance in wide-column NoSQL databases using partitioned incremental ETL pipelines.

34. Design and Implementation of Pipelining Based Area Efficient Fast Multiplier.

35. Efficient FPGA Mapping of Pipeline SDF FFT Cores.

36. Near- and Sub- Vt Pipelines Based on Wide-Pulsed-Latch Design Techniques.

37. A Nanosecond-Transient Fine-Grained Digital LDO With Multi-Step Switching Scheme and Asynchronous Adaptive Pipeline Control.

38. Using SPDY to improve Web 2.0 over satellite links.

39. An Efficient Hardware Architecture for High Throughput AES Encryptor Using MUX Based Sub Pipelined S-Box.

40. Reconfigurable Constant Multiplication for FPGAs.

41. Pipelined-Scheduling of Multiple Embedded Applications on a Multi-Processor-SoC.

42. Advances in deepwater structure installation technologies.

43. Systematic Methodology for the Quantitative Analysis of Pipeline-Register Reliability.

44. Minimizing Delay in Network Function Virtualization with Shared Pipelines.

45. The Effect of Dependency on Scalar Pipeline Architecture.

46. Exploiting Parallelism of Imperfect Nested Loops on Coarse-Grained Reconfigurable Architectures.

47. Pipelining the ranking techniques for microarray data classification: A case study.

48. Optimized sparse Cholesky factorization on hybrid multicore architectures.

49. CPP-ATPG: A Circular Pipeline Processing Based Deterministic Parallel Test Pattern Generator.

50. A 9-bit 1.8 GS/s 44 mW Pipelined ADC Using Linearized Open-Loop Amplifiers.

Catalog

Books, media, physical & digital resources