38 results on '"Po-Tsang Huang"'
Search Results
2. Reliability evaluation of an aggregate power conversion unit in the off-grid PV-battery-based DC microgrid from local energy communities under dynamic and transient operation
- Author
-
Tsai-Chi Kuo, Trang Thi Pham, Duong Minh Bui, Phuc Duy Le, Tan Luong Van, and Po-Tsang Huang
- Subjects
Bidirectional converter ,Boost converter ,Buck converter ,DC microgrid ,Dynamic operation ,DC fault ,Electrical engineering. Electronics. Nuclear engineering ,TK1-9971 - Abstract
In a rural/local energy community (LEC), a PV-battery-based DC microgrid (MG) normally operates at the off-grid/islanded mode. At this off-grid operation mode, the reliability of power converters in both the PV system and the battery energy storage system (BESS) could be reduced by dynamic operation scenarios of the DC microgrid which are frequently repeated, such as the intermittency of PV-system output power, the random fluctuation of load power. Indeed, the dynamic operation of the PV-generating system and the load system in the off-grid DC microgrid could lead to a certain decrease in the reliability of DC–DC bidirectional converters of the BESS, boost converters of the PV system, and buck converters for low-voltage DC loads because of withstanding different power flows to provide a source-load power balance in the DC microgrid. Moreover, transient operation scenarios of the off-grid DC microgrid can significantly impact the reliability of the mentioned power converters. To make the above assumptions more clear, reliability analysis of an aggregate power conversion unit (APCU) in the off-grid PV-battery-based DC microgrid under dynamic and transient operation in the local energy community is presented in this paper. The APCU contains the boost converter of the PV system, the bidirectional converter of the BESS, and the buck converter of the low-voltage DC load system. The main objective of the paper is to shed light on calculations of the dynamic-voltage-dependent failure rate (DVDFR) and fault-current-dependent failure rate (FCDFR) of the APCU from dynamic and transient operation conditions respectively in the off-grid LVDC microgrid. Then, a novel combination of the useful-time-dependent failure rate (UTDFR), the DVDFR, and the FCDFR is proposed to evaluate the system-level and component-level reliability of the APCU in the off-grid DC microgrid. Markov-state transition diagrams are applied for the APCU’s reliability assessment. Experimental results show that the reliability of the bidirectional power converter is more affected by the dynamic and transient operation than that of the boost or buck converters in the APCU. In addition, the DVDFR of the APCU is almost smaller than its FCDFR, but the system-level reliability of the APCU could be significantly reduced by dynamic cases in the islanded DC microgrid. Last but not least, the MTTF and MTBF of the APCU could be dramatically decreased by the dynamic and transient operation of the DC microgrid.
- Published
- 2022
- Full Text
- View/download PDF
3. Reliability analysis of PV generating systems in the islanded DC microgrid under dynamic and transient operation
- Author
-
Tsai‐Chi Kuo, Trang Thi Pham, Po‐Tsang Huang, Hung Tan Nguyen, Duong Minh Bui, and Phuc Duy Le
- Subjects
Renewable energy sources ,TJ807-830 - Abstract
Abstract Dynamic fluctuations in the PV‐source power and different DC‐fault scenarios in the off‐grid PV‐battery‐based DC microgrid could lead to a rapid decrease in the reliability of the PV‐generating system. To make this viewpoint clearer, this paper proposes a novel reliability‐evaluating methodology for PV‐generating systems in the islanded DC microgrid under dynamic and transient operation conditions. Firstly, the dynamic‐voltage‐varying failure rate (DVVFR) and the fault‐current‐varying failure rate (FCVFR) of a PV‐generating system in the off‐grid DC microgrid are formulated. The DVVFR mainly depends on dynamic fluctuations in the PV‐source power and the load power, whereas the FCVFR mostly represents the failure probability due to pole‐to‐pole and pole‐to‐ground faults in the DC microgrid. Then, a possible combination of the used‐time‐varying failure rate (TVFR), the power‐loss and temperature‐dependent failure rate, the DVVFR, and the FCVFR is proposed for evaluating the system‐level and component‐level reliability of PV‐generating sources. Finally, Markov‐state transition diagrams and Chapman–Kolmogorov equations are derived and applied for the PV‐system reliability assessment in the off‐grid PV‐battery‐based DC microgrid. Experimental results are analysed to reveal that the operation of DC‐DC power converters dominates the PV‐system reliability under the dynamic and transient operation. The DVVFR of the PV system is mostly smaller than its FCVFR, however, the system‐level reliability of the PV‐generating source is significantly reduced by dynamic‐voltage‐fluctuating cases due to the more frequent repetition of these dynamic cases. Moreover, the MTTF and MTBF of the PV‐generating system could also be dramatically decreased by the dynamic and transient operation of the DC microgrid.
- Published
- 2022
- Full Text
- View/download PDF
4. Energy-Efficient Accelerator Design With Tile-Based Row-Independent Compressed Memory for Sparse Compressed Convolutional Neural Networks
- Author
-
Po-Tsang Huang, I-Chen Wu, Chin-Yang Lo, and Wei Hwang
- Subjects
Sparse ,CNN ,relative indexing memory ,Electric apparatus and materials. Electric circuits. Electric networks ,TK452-454.4 - Abstract
Deep convolutional neural networks (CNNs) are difficult to be fully deployed to edge devices because of both memory-intensive and computation-intensive workloads. The energy efficiency of CNNs is dominated by convolution computation and off-chip memory (DRAM) accesses, especially for DRAM accesses. In this article, an energy-efficient accelerator is proposed for sparse compressed CNNs by reducing DRAM accesses and eliminating zero-operand computation. Weight compression is utilized for sparse compressed CNNs to reduce the required memory capacity/bandwidth and a large portion of connections. Thus, a tile-based row-independent compression (TRC) method with relative indexing memory is adopted for storing none-zero terms. Additionally, the workloads are distributed based on channels to increase the degree of task parallelism, and all-row-to-all-row non-zero element multiplication is adopted for skipping redundant computation. The simulation results over the dense accelerator show that the proposed accelerator achieves $1.79\times$ speedup and reduces 23.51%, 69.53%, 88.67% on-chip memory size, energy, and DRAM accesses of VGG-16.
- Published
- 2021
- Full Text
- View/download PDF
5. REM sleep and sleep apnea are associated with language function in Down syndrome children: An analysis of a community sample
- Author
-
Ni-Chung Lee, Wei-Chung Hsu, Lih-Maan Chang, Yi-Chen Chen, Po-Tsang Huang, Chun-Chin Chien, Yin-Hsiu Chien, Chi-Ling Chen, Wuh-Liang Hwu, and Pei-Lin Lee
- Subjects
Medicine (General) ,R5-920 - Abstract
Background: The prevalence rate of obstructive sleep apnea (OSA) in the community Down syndrome (DS) children is not clear. Moreover, the impact of OSA and sleep structure on the cognitive function is inconclusive. The present study aimed to investigate 1) the prevalence rate of OSA in the community DS children and 2) the impact of OSA and sleep structure on cognitive performance. Methods: Thirty DS children aged 6–18 years were recruited and evaluated with the performance of the language domain and sensorimotor domain, combining neuropsychological tests and parent-rated behavior. The outcomes were the age-adjusted scores, of which the lower the score was, the better was the patient's ability. The association of score with OSA and sleep structures was determined by linear regression. To diminish the age-related difference, all analyses were conducted separately for all subjects and 6–12-year-old subjects. Results: The median age was 11.3 years and median Full-Scale Intelligence Quotient (FSIQ) was 44. The prevalence of OSA (apnea–hypopnea index ≥ 1/h) was 80% and 62.5% in all subjects and 6–12-year-old subjects, respectively. For 6–12-year-old subjects, after adjustment for age and FSIQ, both %REM and OSA were associated with lower score of the subtest of language domain, WPPSI-R Vocabulary, while %REM was also associated with lower score of VABS-II Communication – Expressive. In contrary, % slow wave sleep was not associated with any subtest. Conclusion: This study identified that OSA may be highly prevalent in community DS children. Among 6–12-year-old DS children, OSA and % REM were associated with their language function. Keywords: Down syndrome, Neuropsychological tests, Polysomnography, Sleep apnea, Slow wave sleep
- Published
- 2020
- Full Text
- View/download PDF
6. Matrix Metalloprotease-7 Mediates Nucleolar Assembly and Intra-nucleolar Cleaving p53 in Gefitinib-Resistant Cancer Stem Cells
- Author
-
Wei-Hsuan Yu, Erxi Wu, Yongqing Li, Hsin-Han Hou, Shuan-su C. Yu, Po-Tsang Huang, Wen-Hung Kuo, Dan Qi, and Chong-Jen Yu
- Subjects
Molecular Biology ,Cell Biology ,Cancer ,Science - Abstract
Summary: The enlarged distinct bulky-ball-like nucleolus matrix assembly is observed in most cancer stem cells (CSCs); however, the underlying mechanism is largely unknown. We show that matrix metalloproteinase-7 (MMP-7) shedding MUC-1 SEA domain releases MUC-1 C-ter, facilitating the nucleolus trafficking of p53 in gefitinib-resistant lung CSCs. The nucleolus colocalizations of p53, MUC-1 C-ter, MMP-7 and nucleolin were observed in the CD34+ CXADR+ CD44v3+ gefitinib-resistant EGFRL858R/T790M CSC colonies. MUC-1 C-ter induced a unique porous bulky-ball-shaped, cagelike nucleolus that functions as a nucleus molecular “garage” for potent tumor suppressor, p53. Nucleolus could also facilitate the novel sub-nucleus compartment for proteolytic processing p53 by MMP-7 to generate a 35 kDa fragment. Moreover, we show that salinomycin, an anti-CSC agent, disrupts nucleolus by inducing nucleoplasm translocation of p53 and sensitizing CSC to chemotherapy drugs. Thus, this study highlights the MMP-7-MUC-1-p53 axis in nucleolus as a potential therapeutic target for anti-CSCs to resolve the chemotherapy-resistance dilemma.
- Published
- 2020
- Full Text
- View/download PDF
7. Self-Calibrated Energy-Efficient and Reliable Channels for On-Chip Interconnection Networks
- Author
-
Po-Tsang Huang and Wei Hwang
- Subjects
Computer engineering. Computer hardware ,TK7885-7895 - Abstract
Energy-efficient and reliable channels are provided for on-chip interconnection networks (OCINs) using a self-calibrated voltage scaling technique with self-corrected green (SCG) coding scheme. This self-calibrated low-power coding and voltage scaling technique increases reliability and reduces energy consumption simultaneously. The SCG coding is a joint bus and error correction coding scheme that provides a reliable mechanism for channels. In addition, it achieves a significant reduction in energy consumption via a joint triplication bus power model for crosstalk avoidance. Based on SCG coding scheme, the proposed self-calibrated voltage scaling technique adjusts voltage swing for energy reduction. Furthermore, this technique tolerates timing variations. Based on UMC 65 nm CMOS technology, the proposed channels reduces energy consumption by nearly 28.3% compared with that for uncoded channels at the lowest voltage. This approach makes the channels of OCINs tolerant of transient malfunctions and realizes energy efficiency.
- Published
- 2012
- Full Text
- View/download PDF
8. Repurposing of the Antipsychotic Trifluoperazine Induces SLC7A11/GPX4- Mediated Ferroptosis of Oral Cancer via the ROS/Autophagy Pathway.
- Author
-
Sheng-Chieh Tsai, Po-Chih Chang, Yu Tong Lin, Po-Tsang Huang, Yi-Fu Chen, Jeff, Chang-Shen Lin, Bin-Nan Wu, Hui-Min Chang, Wan-Ju Wu, Chi-I Chang, and Chien-Hsing Lee
- Published
- 2024
- Full Text
- View/download PDF
9. Functional gold nanoparticles for analysis and delivery of nucleic acids.
- Author
-
Po-Tsang Huang, Yen-Ling Chen, Yi-Hui Lin, Chun-Chi Wang, and Huan-Tsung Chang
- Subjects
- *
GENETIC disorder diagnosis , *NUCLEIC acid analysis , *GENETIC disorder treatment , *GENE therapy , *GOLD , *DRUG delivery systems , *DNA , *RNA , *NUCLEIC acids , *NANOTECHNOLOGY , *NANOPARTICLES - Abstract
Gold nanoparticles (AuNPs) have become the rising stars in the field of nanotechnology and made a revolution in exploiting the profundity of genomics due to their distinguished properties such as stability, ease in preparation and conjugation, biocompatibility, and unique optical properties. These characteristics have greatly expanded their applications such as sensitive and selective quantitation of nucleic acids and as effective carriers for specifically delivering various important molecules/biomolecules to various targets, which are the cornerstone in treating genetic disorders. This review comprehensively discusses the most recent progress in utilization of AuNPs in quantitation and delivery of nucleic acids. The future prospects and challenges of various methods have also been illustrated. It is believed that researchers will continue to overcome the limitations in previous approaches and AuNPs will still play vital roles in the development of diagnosis and treatment of gene-related diseases. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
10. Bending Resistant Multibit Memristor for Flexible Precision Inference Engine Application
- Author
-
Parthasarathi Pal, Ke-Jing Lee, Sunanda Thunder, Sourav De, Po-Tsang Huang, Thomas Kampfe, and Yeong-Her Wang
- Subjects
Electrical and Electronic Engineering ,Electronic, Optical and Magnetic Materials - Published
- 2022
- Full Text
- View/download PDF
11. Single-Crystal Islands (SCI) for Monolithic 3-D and Back-End-of-Line FinFET Circuits
- Author
-
Yu-Wei Liu, Han-Wen Hu, Chenming Hu, Ping-Yi Hsieh, Chih-Chao Yang, Shu-Jui Chang, Hao-Tung Chung, Jia-Min Shieh, Chang-Hong Shen, Po-Tsang Huang, Kuan-Neng Chen, and Jui-Han Liu
- Subjects
Materials science ,Silicon ,business.industry ,Silicon on insulator ,chemistry.chemical_element ,Electronic, Optical and Magnetic Materials ,Pulsed laser deposition ,Amorphous solid ,Back end of line ,chemistry.chemical_compound ,Silicon nitride ,chemistry ,Etching (microfabrication) ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
A single-crystal islands (SCI) technique using low thermal budget pulse laser process is proposed and demonstrated to fabricate single-crystal silicon islands over amorphous dielectric for monolithic 3-D and back-end-of-line (BEOL) FinFET circuits. By laser recrystallizing mask-defined a-Si islands encapsulated with conformal silicon nitride film, designed single-crystal Si islands can be obtained. The single crystallinity of the island are verified with SECCO Etch, high-resolution electron microscopy (HREM), transmission electron microscopy (TEM), and electron backside scattering (EBSD). About 40 nm FinFETs were successfully fabricated in the SCI Si islands and shown to exhibit excellent electrical performance and low variability that are compatible with the FinFETs fabricated on commercial silicon-on-insulator (SOI) wafer.
- Published
- 2021
- Full Text
- View/download PDF
12. Design and Implementation for Deep Learning Based Adjustable Beamforming Training for Millimeter Wave Communication Systems
- Author
-
Po-Tsang Huang, Li-Hsiang Shen, Ting-Wei Chang, and Kai-Ten Feng
- Subjects
Beamforming ,Computer Networks and Communications ,Computer science ,business.industry ,Deep learning ,Aerospace Engineering ,Signal-to-noise ratio ,Transmission (telecommunications) ,Automotive Engineering ,Electronic engineering ,Reinforcement learning ,Overhead (computing) ,Artificial intelligence ,Electrical and Electronic Engineering ,Transceiver ,business ,Throughput (business) - Abstract
Millimeter wave (mmWave) provides extremely high throughput owing to their high bandwidth utilization over higher frequencies. To compensate for the severe loss and attenuation, beamforming training is used to determine the optimal beam direction and thereby improve directional transmission power. However, the training overhead will be significantly increased with narrower beams, particularly under conventional exhaustive schemes. Therefore, we propose a learning-based adjustable beam number training (LABNT) scheme to intelligently and flexibly select beam training candidates considering different user mobility and hybrid line-of-sight (LOS) and non-line-of-sight (NLOS) scenarios. In LABNT, several deep learning networks are parallelly constructed and connected to a reinforcement learning network to determine training candidates dynamically based on performance rewards. A novel enhanced feature selection method, that is, uniformly distributed mutual information, is developed based on the correlation of historical results to select the beam inputs for each deep learning network. In simulations, the proposed LABNT outperforms other existing schemes in terms of beam alignment accuracy, training latency, and system throughput. Moreover, following the IEEE 802.11ad/ay protocols, we implement realistic mmWave beamforming training on a programmable hardware platform with integrated 60 GHz wireless-gigabit (WiGig) transceiver devices. The experimental results on the WiGig platform demonstrate that the proposed LABNT scheme can achieve real-time performance with approximately Gbps transmission throughput and millisecond-level training overhead.
- Published
- 2021
- Full Text
- View/download PDF
13. Energy-Efficient Accelerator Design With Tile-Based Row-Independent Compressed Memory for Sparse Compressed Convolutional Neural Networks
- Author
-
Chin-Yang Lo, Po-Tsang Huang, Wei Hwang, and I-Chen Wu
- Subjects
Speedup ,Hardware_MEMORYSTRUCTURES ,Computer science ,Search engine indexing ,Bandwidth (signal processing) ,Task parallelism ,Parallel computing ,relative indexing memory ,Convolutional neural network ,Memory management ,lcsh:Electric apparatus and materials. Electric circuits. Electric networks ,Sparse ,lcsh:TK452-454.4 ,Dram ,CNN ,Efficient energy use - Abstract
Deep convolutional neural networks (CNNs) are difficult to be fully deployed to edge devices because of both memory-intensive and computation-intensive workloads. The energy efficiency of CNNs is dominated by convolution computation and off-chip memory (DRAM) accesses, especially for DRAM accesses. In this article, an energy-efficient accelerator is proposed for sparse compressed CNNs by reducing DRAM accesses and eliminating zero-operand computation. Weight compression is utilized for sparse compressed CNNs to reduce the required memory capacity/bandwidth and a large portion of connections. Thus, a tile-based row-independent compression (TRC) method with relative indexing memory is adopted for storing none-zero terms. Additionally, the workloads are distributed based on channels to increase the degree of task parallelism, and all-row-to-all-row non-zero element multiplication is adopted for skipping redundant computation. The simulation results over the dense accelerator show that the proposed accelerator achieves $1.79\times$ speedup and reduces 23.51%, 69.53%, 88.67% on-chip memory size, energy, and DRAM accesses of VGG-16.
- Published
- 2021
14. 3D On-Demand Flying Mobile Communication for Millimeter-Wave Heterogeneous Networks
- Author
-
Yi-Bing Lin, Sau-Hsuan Wu, Chi-Yu Li, Kai-Ten Feng, Li-Chun Wang, Mau-Chung Frank Chang, Li-Hsiang Shen, and Po-Tsang Huang
- Subjects
Computer Networks and Communications ,business.industry ,Computer science ,Real-time computing ,020206 networking & telecommunications ,02 engineering and technology ,Drone ,Radio spectrum ,Backhaul (telecommunications) ,Hotspot (Wi-Fi) ,Hardware and Architecture ,0202 electrical engineering, electronic engineering, information engineering ,Wireless ,Mobile telephony ,business ,Software ,5G ,Heterogeneous network ,Information Systems - Abstract
Millimeter wave (mmWave) technology achieving multi-gigabits speed plays a significant role in beyond 5G and the next 6G wireless communication networks thanks to its huge spectrum utilization and beam-based directional transmissions. To tackle temporary ultra-high data demands of hotspot areas, three-dimensional (3D) heterogeneous network (HetNet) is designed with the integration of mmWave unmanned aerial vehicles (UAV) to provide resilient instantaneous control and data transmissions. However, some critical beam-related issues for mmWave implementation of UAVs/drones are addressed including robust initial beam alignment, mobility-aware beam tracking and beam refinement. In this research, we aim at developing robust and efficient beam control mechanisms by implementing a prototype of 3D flying heterogeneous communications. The backhaul connections operate at mmWave frequency between airship and UAV/drone, while fronthaul links adopt lower frequency bands such as Wi-Fi for multiuser data transmissions. We evaluate system performances for our proposed beam control schemes and provide a real-time prototype of 3D on-demand flying mobile communication for mmWave HetNets.
- Published
- 2020
- Full Text
- View/download PDF
15. REM sleep and sleep apnea are associated with language function in Down syndrome children: An analysis of a community sample
- Author
-
Chun-Chin Chien, Wei-Chung Hsu, Yin-Hsiu Chien, Yi-Chen Chen, Chi-Ling Chen, Pei-Lin Lee, Po-Tsang Huang, Ni-Chung Lee, Wuh-Liang Hwu, and Lih-Maan Chang
- Subjects
Male ,Down syndrome ,medicine.medical_specialty ,Adolescent ,Polysomnography ,Taiwan ,Sleep, REM ,Audiology ,Severity of Illness Index ,03 medical and health sciences ,0302 clinical medicine ,medicine ,Prevalence ,Humans ,Language Development Disorders ,Effects of sleep deprivation on cognitive performance ,Child ,Slow-wave sleep ,Sleep Apnea, Obstructive ,lcsh:R5-920 ,Intelligence quotient ,medicine.diagnostic_test ,business.industry ,Neuropsychology ,Sleep apnea ,General Medicine ,medicine.disease ,respiratory tract diseases ,Obstructive sleep apnea ,030220 oncology & carcinogenesis ,Linear Models ,030211 gastroenterology & hepatology ,Female ,Down Syndrome ,business ,lcsh:Medicine (General) - Abstract
Background: The prevalence rate of obstructive sleep apnea (OSA) in the community Down syndrome (DS) children is not clear. Moreover, the impact of OSA and sleep structure on the cognitive function is inconclusive. The present study aimed to investigate 1) the prevalence rate of OSA in the community DS children and 2) the impact of OSA and sleep structure on cognitive performance. Methods: Thirty DS children aged 6–18 years were recruited and evaluated with the performance of the language domain and sensorimotor domain, combining neuropsychological tests and parent-rated behavior. The outcomes were the age-adjusted scores, of which the lower the score was, the better was the patient's ability. The association of score with OSA and sleep structures was determined by linear regression. To diminish the age-related difference, all analyses were conducted separately for all subjects and 6–12-year-old subjects. Results: The median age was 11.3 years and median Full-Scale Intelligence Quotient (FSIQ) was 44. The prevalence of OSA (apnea–hypopnea index ≥ 1/h) was 80% and 62.5% in all subjects and 6–12-year-old subjects, respectively. For 6–12-year-old subjects, after adjustment for age and FSIQ, both %REM and OSA were associated with lower score of the subtest of language domain, WPPSI-R Vocabulary, while %REM was also associated with lower score of VABS-II Communication – Expressive. In contrary, % slow wave sleep was not associated with any subtest. Conclusion: This study identified that OSA may be highly prevalent in community DS children. Among 6–12-year-old DS children, OSA and % REM were associated with their language function. Keywords: Down syndrome, Neuropsychological tests, Polysomnography, Sleep apnea, Slow wave sleep
- Published
- 2020
16. Matrix Metalloprotease-7 Mediates Nucleolar Assembly and Intra-nucleolar Cleaving p53 in Gefitinib-Resistant Cancer Stem Cells
- Author
-
Dan Qi, Po-Tsang Huang, Erxi Wu, Wei-Hsuan Yu, Hsin-Han Hou, Chong-Jen Yu, Yongqing Li, Shuan-su C. Yu, and Wen-Hung Kuo
- Subjects
0301 basic medicine ,Multidisciplinary ,Nucleoplasm ,Nucleolus ,Chemistry ,02 engineering and technology ,Cell Biology ,Matrix (biology) ,Matrix metalloproteinase ,021001 nanoscience & nanotechnology ,Article ,law.invention ,Cell biology ,03 medical and health sciences ,030104 developmental biology ,Cancer stem cell ,law ,Suppressor ,lcsh:Q ,Stem cell ,lcsh:Science ,0210 nano-technology ,Nucleolin ,Molecular Biology ,Cancer - Abstract
Summary The enlarged distinct bulky-ball-like nucleolus matrix assembly is observed in most cancer stem cells (CSCs); however, the underlying mechanism is largely unknown. We show that matrix metalloproteinase-7 (MMP-7) shedding MUC-1 SEA domain releases MUC-1 C-ter, facilitating the nucleolus trafficking of p53 in gefitinib-resistant lung CSCs. The nucleolus colocalizations of p53, MUC-1 C-ter, MMP-7 and nucleolin were observed in the CD34+ CXADR+ CD44v3+ gefitinib-resistant EGFRL858R/T790M CSC colonies. MUC-1 C-ter induced a unique porous bulky-ball-shaped, cagelike nucleolus that functions as a nucleus molecular “garage” for potent tumor suppressor, p53. Nucleolus could also facilitate the novel sub-nucleus compartment for proteolytic processing p53 by MMP-7 to generate a 35 kDa fragment. Moreover, we show that salinomycin, an anti-CSC agent, disrupts nucleolus by inducing nucleoplasm translocation of p53 and sensitizing CSC to chemotherapy drugs. Thus, this study highlights the MMP-7-MUC-1-p53 axis in nucleolus as a potential therapeutic target for anti-CSCs to resolve the chemotherapy-resistance dilemma., Graphical Abstract, Highlights • MMP-7 cleaves the SEA domain of MUC-1 and releases MUC-1 C-ter • MUC-1 C-ter mediates bulky-ball-like nucleolus assembly trapping p53 in nucleolus • MMP-7 cleaves p53 to 35 kDa fragments in the nucleolus of gefitinib-resistant CSCs • Salinomycin induces p53 nucleoplasm translocation sensitizing CSCs to gefitinib, Molecular Biology; Cell Biology; Cancer
- Published
- 2020
17. A 0.5-V 28-nm 256-kb Mini-Array Based 6T SRAM With Vtrip-Tracking Write-Assist
- Author
-
Wei-Sheng Peng, Kuen-Di Lee, Po-Tsang Huang, Shang-Lin Wu, Sheng-Chi Lung, Ming-Hsien Tu, Ching-Te Chuang, Huan-Shun Huang, Kuang-Yu Li, Yung-Shin Kao, and Wei Hwang
- Subjects
Engineering ,Power gating ,business.industry ,020208 electrical & electronic engineering ,Electrical engineering ,02 engineering and technology ,Chip ,020202 computer hardware & architecture ,CMOS ,Dynamic demand ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Inverter ,Static random-access memory ,Electrical and Electronic Engineering ,business ,Low voltage ,Voltage - Abstract
This paper presents a 28-nm 256-kb 6T static random access memory operating down to near-threshold regime. The cell array is built on foundry 4-by-2 mini-array with split single-ended large signal sensing to enable an ultra-short local bit-line of 4-b length to improve variation tolerance and performance, and to reduce disturb while maintaining manufacturability. The design employs threshold power gating to facilitate lower NAP (Sleep) mode voltage/power and faster wake-up for the cell array, and low-swing global read bit-line (GRBL) with integrated low-swing voltage precharger to improve read performance and reduce the dynamic read power. A cell Vtrip-tracking write-assist (VTWA) lowers the selected sub-array supply to cell inverter trip voltage to enhance write-ability while providing PVT tracking capability to ensure adequate data retention margin for unselected cells in the selected sub-array. The 256-kb test chip is implemented in UMC 28-nm high- $\kappa $ metal-gate (H $\kappa $ MG) CMOS technology with macro area of $1058.22\times 374.76~\mu \text{m}^{2}$ . Error-free full functionality is achieved from 0.9 down to 0.5 V (limited by read VMIN without redundancy. The low-swing GRBL reduces dynamic power by 6.5% (8.0%) at 0.9 V (0.6 V). The VTWA improves the write VMIN by 75 mV (from 0.525 to 0.45 V). The measured maximum operation frequency is 735 MHz (20 MHz) at 0.9 V (0.5 V), TT corner, 25°.
- Published
- 2017
- Full Text
- View/download PDF
18. An Advanced 2.5-D Heterogeneous Integration Packaging for High-Density Neural Sensing Microsystem
- Author
-
Jr-Ming Chen, Jeng Ren Duann, Yan-Huei You, Ching-Te Chuang, Yu-Chieh Huang, Tzai-Wen Chiu, Wei Hwang, Jin-Chern Chiou, Yan-Yu Huang, Yen-Han Lin, Yu-Tao Yang, Shang-Lin Wu, Po-Tsang Huang, Yu-Chen Hu, Kuan-Neng Chen, and Hsiao-Chun Chang
- Subjects
010302 applied physics ,Microelectromechanical systems ,Interconnection ,Wire bonding ,Through-silicon via ,Computer science ,business.industry ,0206 medical engineering ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,020601 biomedical engineering ,01 natural sciences ,Dot pitch ,Electronic, Optical and Magnetic Materials ,Interference (communication) ,Hardware_GENERAL ,Microsystem ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Interposer ,Electronic engineering ,Electrical and Electronic Engineering ,business - Abstract
In the traditional neural sensing microstructure, the limited metal line pitch and the metal layer numbers restrict the neural signal routing ability from electrodes to circuit chips. Miniature packaging and excessive noise interference bottlenecks are some of the challenges faced by the electrodes and circuit chips integration with traditional wire bonding. This paper proposes a 2.5-D heterogeneous integration neural sensing microsystem based on the silicon substrate to overcome these issues. With standard semiconductor and 3-D integration processes, high-channel-density (256 channels at 25 mm2) neural sensing microsystem is achieved. Through silicon via provides the shortest vertical interconnection and dramatically minimizes the packaging. Furthermore, the interposer can carry multiple chips to enhance the function of the biosensor. Electrical characteristics and reliability examinations reveal its high quality and great performance as compared to traditional approaches. This novel highly integrated neural sensing microsystem is expected to contribute to the biomedical field for exploring and solving unknown biological mysteries.
- Published
- 2017
- Full Text
- View/download PDF
19. A 7.5-mW 10-Gb/s 16-QAM wireline transceiver with carrier synchronization and threshold calibration for mobile inter-chip communications in 16-nm FinFET
- Author
-
Sheau-Jiung Lee, Mau-Chung Frank Chang, Po-Tsang Huang, Yilei Li, Jieqiong Du, Yo-Hao Tu, Chien-Heng Wong, Wei-Han Cho, and Yuan Du
- Subjects
Interconnection ,Computer science ,Wireline ,020208 electrical & electronic engineering ,02 engineering and technology ,Chip ,Multiplexing ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Transceiver ,Clock recovery ,Quadrature amplitude modulation ,DC bias - Abstract
A compact energy-efficient 16-QAM wireline transceiver with carrier synchronization and threshold calibration is proposed to leverage high-density fine-pitch interconnects. Utilizing frequency-division multiplexing, the transceiver transfers four-bit data through one RF band to reduce intersymbol interferences. A forwarded clock is also transmitted through the same interconnect with the data simultaneously to enable low-power PVT-insensitive symbol clock recovery. A carrier synchronization algorithm is proposed to overcome nontrivial current and phase mismatches by including DC offset calibration and dedicated I/Q phase adjustments. Along with this carrier synchronization, a threshold calibration process is used for the transceiver to tolerate channel and circuit variations. The transceiver implemented in 16-nm FinFET occupies only 0.006-mm2 and achieves 10 Gb/s with 0.75-pJ/bit efficiency and
- Published
- 2019
- Full Text
- View/download PDF
20. A novel p53 barrier destruction mechanism through MMP‐7 mediating nucleolar matrix assembly & intra‐nucleolar cleaving p53 during cancer stem cell transformation
- Author
-
Wei-Hsuan Yu, Shuan Su C. Yu, Yongqing Li, Erxi Wu, and Po Tsang Huang
- Subjects
Transformation (genetics) ,Chemistry ,Cancer stem cell ,Mechanism (biology) ,Genetics ,Matrix (biology) ,Matrix metalloproteinase ,Molecular Biology ,Biochemistry ,Biotechnology ,Cell biology - Published
- 2019
- Full Text
- View/download PDF
21. SMEM++: A Pipelined and Time-Multiplexed SMEM Seeding Accelerator for Genome Sequencing
- Author
-
Jason Cong, Po-Tsang Huang, Peng Wei, Tianhe Yu, and Licheng Guo
- Subjects
0301 basic medicine ,business.industry ,Computer science ,Interface (Java) ,Adapter (computing) ,0206 medical engineering ,Volume (computing) ,02 engineering and technology ,Parallel computing ,Multiplexing ,DNA sequencing ,03 medical and health sciences ,Kernel (linear algebra) ,030104 developmental biology ,Software ,business ,Field-programmable gate array ,020602 bioinformatics - Abstract
Next-generation sequencing motivates the researchof FPGA acceleration for genome sequencing algorithms. Therecently developed quadratic-time SMEM seeding algorithmbecomes a time-consuming computation kernel in genomesequencing, but it has not been well studied. The fundamentalchallenge of accelerating the SMEM algorithm is to handle itslarge volume of random memory accesses. While the state-ofthe-art SMEM accelerator attempts sacrifices the performanceof individual processing elements to maximize the task-levelparallelism, this methodology suffers a serious resource underutilizationissue. Therefore, we propose SMEM++, a pipelinedand time-multiplexed FPGA accelerator for SMEM algorithm.SMEM++ adopts the canonical non-blocking pipelinemethodology and implements a fully pipelined acceleratorwith initiation interval equal to one. Moreover, we designa communication interface adapter to make the acceleratorcompatible to the target platform interface and increase itsportability. Experiments on the Intel HARPv2 platform showthat SMEM++ outperforms the original software by 24x, andoutperforms the state-of-the-art SMEM accelerator design by6.3x, with 43% less logic resource usage.
- Published
- 2018
- Full Text
- View/download PDF
22. Carrier synchronisation for multiband RF interconnect (MRFI) to facilitate chip‐to‐chip wireline communication
- Author
-
Jieqiong Du, Yuan Du, S. J. Lee, Wei-Han Cho, Mau-Chung Chang, Y. Li, and Po-Tsang Huang
- Subjects
Analogue to digital converter ,Interconnection ,Engineering ,Finite-state machine ,business.industry ,Wireline ,020208 electrical & electronic engineering ,020206 networking & telecommunications ,02 engineering and technology ,Chip ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Electrical and Electronic Engineering ,Baseband processor ,business - Abstract
A hardware-efficient carrier synchronisation technique for multiband RF interconnect system is proposed. This method takes full advantage of the wireline channel's quasi-invariant nature for achieving both energy/cost-efficient system designs. For instance, now easily decouple quadrature signals' phase and magnitude errors by using 1-bit analogue-to-digital converter (ADC), which saves substantial power and area than that of conventional methods where the ADC with high-speed/resolution is necessary. Furthermore, the algorithm can be carried out by using a simple finite-state machine and no baseband processor is required. Simulation and measurement results show that quasi-ideal carrier synchronisation can be achieved with the proposed method.
- Published
- 2016
- Full Text
- View/download PDF
23. Blood Beta-Amyloid and Tau in Down Syndrome: A Comparison with Alzheimer’s Disease
- Author
-
Shieh Yueh Yang, Ni-Chung Lee, Yin-Hsiu Chien, Yen Nan Chiu, Jen Jie Chieh, Ming-Jang Chiu, Lih Maan Chang, Po Tsang Huang, Ai Chiu Huang, and Wuh-Liang Hwu
- Subjects
0301 basic medicine ,Aging ,medicine.medical_specialty ,Down syndrome ,Pathology ,Amyloid ,Cognitive Neuroscience ,Population ,Tau protein ,β-amyloids ,tau protein ,Pathogenesis ,03 medical and health sciences ,0302 clinical medicine ,Internal medicine ,mental disorders ,medicine ,Dementia ,education ,Original Research ,education.field_of_study ,biology ,Neurodegeneration ,Neurotoxicity ,behavioral and psychological symptoms of dementia ,medicine.disease ,nervous system diseases ,030104 developmental biology ,Endocrinology ,biology.protein ,Psychology ,Alzheimer’s disease ,030217 neurology & neurosurgery ,Neuroscience ,dementia - Abstract
Background: Changes in beta-amyloids and tau proteins have been noted in patients with Alzheimer’s disease (AD) and patients with both Down syndrome (DS) and AD. However, reports of changes in the early stage of regression, such as behavioral and psychological symptoms of dementia (BPSD), in DS are sparse. Methods: Seventy-eight controls, 62 patients with AD, 35 with DS, and 16 with DS with degeneration (DS_D), including 9 with BPSD and 7 with dementia, were enrolled. The levels of beta-amyloids 40 and 42 (Abeta-40, Abeta-42) and tau protein in the blood were analyzed using immunomagnetic reduction. The Adaptive Behavior Dementia Questionnaire (ABDQ) was used to evaluate the clinical status of the degeneration. Results: The Abeta-40 and tau levels were higher and the Abeta-42 level and Abeta-42/Abeta-40 ratio were lower in DS than in the controls (all p < 0.001). Decreased Abeta-40 and increased Abeta-42 levels and Abeta-42/40 ratios were observed in DS_D compared with DS without degeneration (all p < 0.001). The ABDQ score was negatively correlated with the Abeta-40 level (rho= -0.556) and the tau protein level (rho= -0.410) and positively associated with the Abeta-42 level (rho= 0.621) and the Abeta-42/40 ratio (rho= 0.544) (all p < 0.05). Conclusions: The Abeta-40 and Abeta-42 levels and the Abeta-42/Abeta-40 ratio are considered possible biomarkers for the early detection of degeneration in DS. The elevated Abeta-40 and tau levels in DS may indicate early neurodegeneration. The increased Abeta-42 in DS_D may reflect the neurotoxicity of Abeta-42. The paradox of the tau decreases in DS_D could be explained by a burnout phenomenon during long-term neurodegeneration. The different patterns of the plasma beta amyloids and tau protein may imply a different pathogenesis between Down syndrome with degeneration and Alzheimer’s disease in the general population, in spite of their common key pathological features.
- Published
- 2017
- Full Text
- View/download PDF
24. Importance of the C-terminal histidine residues of Helicobacter pylori GroES for Toll-like receptor 4 binding and interleukin-8 cytokine production
- Author
-
Yeng-Tseng Wang, Shiou-Ru Tzeng, Po-Tsang Huang, Chun-Hua Hsu, Ya-Hui Chang, Haur Lee, Feng-Tse Hsieh, Yu-Lin Su, Kuo-Long Lou, Bo-Shih Huang, and Lu-Ping Chow
- Subjects
0301 basic medicine ,Plasma protein binding ,Biology ,medicine.disease_cause ,Article ,03 medical and health sciences ,Cell Line, Tumor ,medicine ,Chaperonin 10 ,Humans ,Protein Interaction Domains and Motifs ,Amino Acid Sequence ,Receptor ,Escherichia coli ,Peptide sequence ,Histidine ,Conserved Sequence ,Toll-like receptor ,Multidisciplinary ,Helicobacter pylori ,Interleukin-8 ,GroES ,biology.organism_classification ,Toll-Like Receptor 4 ,030104 developmental biology ,Biochemistry ,Host-Pathogen Interactions ,Protein Binding - Abstract
Helicobacter pylori infection is associated with the development of gastric and duodenal ulcers as well as gastric cancer. GroES of H. pylori (HpGroES) was previously identified as a gastric cancer-associated virulence factor. Our group showed that HpGroES induces interleukin-8 (IL-8) cytokine release via a Toll-like receptor 4 (TLR4)-dependent mechanism and domain B of the protein is crucial for interactions with TLR4. In the present study, we investigated the importance of the histidine residues in domain B. To this end, a series of point mutants were expressed in Escherichia coli, and the corresponding proteins purified. Interestingly, H96, H104 and H115 were not essential, whereas H100, H102, H108, H113 and H118 were crucial for IL-8 production and TLR4 interactions in KATO-III cells. These residues were involved in nickel binding. Four of five residues, H102, H108, H113 and H118 induced certain conformation changes in extended domain B structure, which is essential for interactions with TLR4 and consequent IL-8 production. We conclude that interactions of nickel ions with histidine residues in domain B help to maintain the conformation of the C-terminal region to conserve the integrity of the HpGroES structure and modulate IL-8 release.
- Published
- 2016
25. Functional and structural characterization of PKA-mediated pHi gating of ROMK1 channels
- Author
-
Po-Tsang Huang, Kuo-Long Lou, Chien-Hsing Lee, and Horng-Huei Liou
- Subjects
Models, Molecular ,Phosphatidylinositol 4,5-Diphosphate ,Intracellular pH ,Molecular Sequence Data ,Intracellular Space ,Xenopus ,Gating ,Biology ,Xenopus laevis ,chemistry.chemical_compound ,Renal tubular dysfunction ,Sequence Analysis, Protein ,Materials Chemistry ,Animals ,Computer Simulation ,Amino Acid Sequence ,Patch clamp ,Phosphatidylinositol ,Phosphorylation ,Potassium Channels, Inwardly Rectifying ,Physical and Theoretical Chemistry ,Protein kinase A ,Spectroscopy ,Hydrogen-Ion Concentration ,biology.organism_classification ,Cyclic AMP-Dependent Protein Kinases ,Computer Graphics and Computer-Aided Design ,Molecular biology ,chemistry ,Mutation ,Biophysics ,Female ,Mutant Proteins ,Ion Channel Gating - Abstract
Hyperprostaglandin E syndrome/antenatal Bartter syndrome (HPS/aBS) is a severe salt-losing renal tubular disorder and results from the mutation of renal outer medullary K(+) (ROMK1) channels. The aberrant ROMK1 function induces alterations in intracellular pH (pH(i)) gating under physiological conditions. We investigate the role of protein kinase A (PKA) in the pH(i) gating of ROMK1 channels. Using giant patch clamp with Xenopus oocytes expressing wild-type and mutant ROMK1 channels, PKA-mediated phosphorylation decreased the sensitivity of ROMK1 channels to pH(i). A homology model of ROMK1 reveals that a PKA phosphorylation site (S219) is spatially juxtaposed to the phosphatidylinositol 4,5-bisphosphate (PIP(2)) binding residues (R188, R217, and K218). Molecular dynamics simulations suggest a stable transition state, in which the shortening of distance between S219 and R217 and the movement of K218 towards the membrane after the PKA-phosphorylation can be observed. Such conformational change may bring the PIP(2) binding residues (K218) more accessible to the membrane-bound PIP(2). In addition, PIP(2) dose-dependently reactivates the acidification-induced rundown channels only when ROMK1 channels have been phosphorylated by PKA. This implies a sequence regulatory episode reflecting the role of PIP(2) in the pH(i) gating of ROMK1 channels by PKA-mediated phosphorylation. Our results provide new insights into the molecular mechanisms underlying the ROMK1 channel regulation associated with HPS/aBS.
- Published
- 2008
- Full Text
- View/download PDF
26. 2.5D heterogeneously integrated microsystem for high-density neural sensing applications
- Author
-
Wen-Wei Shen, Lei-Chun Chou, Jin-Chern Chiou, Shang-Lin Wu, Tang-Hsuan Wang, Po-Tsang Huang, Yu-Chieh Huang, Yu-Rou Lin, Teng-Chieh Huang, Chuan-An Cheng, Ching-Te Chuang, Ho-Ming Tong, Wei Hwang, and Kuan-Neng Chen
- Subjects
Engineering ,business.industry ,Biomedical Engineering ,Electrical engineering ,Chip ,Noise (electronics) ,Neurophysiological Monitoring ,law.invention ,Microcontroller ,CMOS ,law ,Microsystem ,Remote Sensing Technology ,Interposer ,Humans ,Electrical and Electronic Engineering ,Resistor ,business ,Electronic circuit - Abstract
Heterogeneously integrated and miniaturized neural sensing microsystems are crucial for brain function investigation. In this paper, a 2.5D heterogeneously integrated bio-sensing microsystem with $\mu$ -probes and embedded through-silicon-via (TSVs) is presented for high-density neural sensing applications. This microsystem is composed of $\mu$ -probes with embedded TSVs, 4 dies and a silicon interposer. For capturing 16-channel neural signals, a 24 $\times$ 24 $\mu$ -probe array with embedded TSVs is fabricated on a $5\times 5\ {\rm mm}^{2}$ chip and bonded on the back side of the interposer. Thus, each channel contains 6 $\times$ 6 $\mu$ -probes with embedded TSVs. Additionally, the 4 dies are bonded on the front side of the interposer and designed for biopotential acquisition, feature extraction and classification via low-power analog front-end (AFE) circuits, area-power-efficient analog-to-digital converters (ADCs), configurable discrete wavelet transforms (DWTs), filters, and a MCU. An on-interposer bus ( $\mu$ -SPI) is designed for transferring data on the interposer. Finally, the successful in-vivo test demonstrated the proposed 2.5D heterogeneously integrated bio-sensing microsystem. The overall power of this microsystem is only 676.3 $\mu{\rm W}$ for 16-channel neural sensing.
- Published
- 2015
27. Involvement of a novel C-terminal kinase domain of Kir6.2 in the K-ATP channel rundown reactivation
- Author
-
Hsiu-Chuan Chou, Yau-Wie Tsai, Po-Tsang Huang, Ting-Yu Chen, Yu-Shuan Shiau, Kuo-Long Lou, Robert J. French, and Yuh-Yuan Shiau
- Subjects
endocrine system ,Protein subunit ,Organic Chemistry ,Kir6.2 ,Biology ,Catalysis ,Computer Science Applications ,Inorganic Chemistry ,Crystallography ,Computational Theory and Mathematics ,Protein kinase domain ,Terminal (electronics) ,Structural correlation ,Biophysics ,Physical and Theoretical Chemistry ,Protein secondary structure ,Intracellular ,Communication channel - Abstract
Rundown is a generally encountered problem while recording KATP channel activity with inside-out patches. No assigned structural fragment related to this mechanism has yet been derived from any of the functional analyses performed. Therefore, based on a combined sequence and secondary structure alignment against known crystal structure of segments from closely related proteins, we propose here the three-dimensional structural model of an intracellular C-terminal domain of the Kir6.2 subunit in KATP channels. An E. coli CMP-kinase was suggested as template for the model building. The subdomain arrangement of this novel kinase domain and the structural correlation for UDP-docking are described. With structural-functional interpretation, we conclude that the reactivation of KATP channel rundown by MgATP or UDP is very possibly regulated by this intracellular kinase domain at the C-terminus of Kir6.2 subunit in KATP channels.
- Published
- 2001
- Full Text
- View/download PDF
28. Self-Calibrated Energy-Efficient and Reliable Channels for On-Chip Interconnection Networks
- Author
-
Wei Hwang and Po-Tsang Huang
- Subjects
Engineering ,Interconnection ,Computer engineering. Computer hardware ,General Computer Science ,Voltage swing ,Article Subject ,business.industry ,Energy consumption ,Hardware_PERFORMANCEANDRELIABILITY ,TK7885-7895 ,CMOS ,Signal Processing ,Electronic engineering ,Hardware_INTEGRATEDCIRCUITS ,Electrical and Electronic Engineering ,business ,Scaling ,Efficient energy use ,Voltage ,Coding (social sciences) - Abstract
Energy-efficient and reliable channels are provided for on-chip interconnection networks (OCINs) using a self-calibrated voltage scaling technique with self-corrected green (SCG) coding scheme. This self-calibrated low-power coding and voltage scaling technique increases reliability and reduces energy consumption simultaneously. The SCG coding is a joint bus and error correction coding scheme that provides a reliable mechanism for channels. In addition, it achieves a significant reduction in energy consumption via a joint triplication bus power model for crosstalk avoidance. Based on SCG coding scheme, the proposed self-calibrated voltage scaling technique adjusts voltage swing for energy reduction. Furthermore, this technique tolerates timing variations. Based on UMC 65 nm CMOS technology, the proposed channels reduces energy consumption by nearly 28.3% compared with that for uncoded channels at the lowest voltage. This approach makes the channels of OCINs tolerant of transient malfunctions and realizes energy efficiency.
- Published
- 2012
- Full Text
- View/download PDF
29. Cloning, expression and characterization of CCL21 and CCL25 chemokines in zebrafish
- Author
-
Jiiang-Huei Jeng, Bei-En Chang, Kuo-Long Lou, Chung-Chen Jane Yao, I-Na Lu, Bor-Luen Chiang, Po-Tsang Huang, Li-Deh Lin, and Juo-Song Wang
- Subjects
Models, Molecular ,Chemokine ,Embryo, Nonmammalian ,Immunology ,ved/biology.organism_classification_rank.species ,Molecular Sequence Data ,In situ hybridization ,Thymus Gland ,Animals ,Amino Acid Sequence ,Cloning, Molecular ,Model organism ,Zebrafish ,Phylogeny ,Gene knockdown ,biology ,Chemokine CCL21 ,ved/biology ,Embryo ,Zebrafish Proteins ,biology.organism_classification ,Molecular biology ,Thymocyte ,Chemokines, CC ,biology.protein ,Oocytes ,Transcriptome ,Sequence Alignment ,Developmental Biology ,Homing (hematopoietic) - Abstract
Chemokines are a large group of proteins implicated in migration, activation, and differentiation of leukocytes. They are well-surveyed in mammals, but less is known in lower vertebrates about their spatiotemporal expressions and functions. From an evolutionary point of view, comparative analyses may provide some fundamental insights into these molecules. In mammals, CCL21 and CCL25 are crucial for thymocyte homing. Herein, we identified and cloned the zebrafish orthologues of CCL21 and CCL25, and analyzed their expression in embryos and adult fish by in situ hybridization. We found that CCL21 was expressed in the craniofacial region, pharynx, and blood vessels in embryos. In adult fish, CCL21 transcripts were located in the kidney, spinal cord, and blood cells. In contrast, expression of CCL25 was only detected in the thymus primordia in embryos. In adult fish, transcripts of CCL25 were maintained in the thymus, and they were also found in the brain and oocytes. Furthermore, we performed an antisense oligonucleotide experiment to evaluate the biological function of CCL25. Results showed that the recruitment of thymocytes was impeded by morpholino-mediated knockdown of CCL25, suggesting that CCL25 is essential for colonization of T-cells in the thymus in early development. Together, our results demonstrate the basic profiles of two CCL chemokines in zebrafish. The tissue-specific expression patterns may pave the way for further genetic dissection in this model organism.
- Published
- 2011
30. Protein kinase C mediated pH(i)-regulation of ROMK1 channels via a phosphatidylinositol-4,5-bisphosphate-dependent mechanism
- Author
-
Po-Tsang Huang, Chien-Hsing Lee, Horng-Huei Liou, and Kuo-Long Lou
- Subjects
Phosphatidylinositol 4,5-Diphosphate ,Molecular Sequence Data ,Gating ,Biology ,Molecular Dynamics Simulation ,Catalysis ,Inorganic Chemistry ,chemistry.chemical_compound ,Xenopus laevis ,Animals ,Patch clamp ,Amino Acid Sequence ,Physical and Theoretical Chemistry ,Phosphorylation ,Potassium Channels, Inwardly Rectifying ,Protein kinase C ,Protein Kinase C ,Activator (genetics) ,Organic Chemistry ,Hydrogen-Ion Concentration ,Computer Science Applications ,Computational Theory and Mathematics ,Biochemistry ,Phosphatidylinositol 4,5-bisphosphate ,chemistry ,Biophysics ,Oocytes ,Female ,Homeostasis ,Intracellular - Abstract
The protein kinase C (PKC) pathway is important for the regulation of K+ transport. The renal outer medullar K+ (ROMK1) channels show an exquisite sensitivity to intracellular protons (pH i ) (effective pK a approximately 6.8) and play a key role in K+ homeostasis during metabolic acidosis. Our molecular dynamic simulation results suggest that PKC-mediated phosphorylation on Thr-193 may disrupt the PIP2-channel interaction via a charge–charge interaction between Thr-193 and Arg-188. Therefore, we investigated the role of PKC and pH i in regulation of ROMK1 channel activity using a giant patch clamp with Xenopus oocytes expressing wild-type and mutant ROMK1 channels. ROMK1 channels pre-incubated with the PKC activator phorbol-12-myristate-13-acetate exhibited increased sensitivity to pH i (effective pK a shifted to pH approximately 7.0). In the presence of GF109203X—a PKC selective inhibitor—the effective pK a for inhibition of ROMK1 channels by pH i decreased (effective pK a shifted to pH approximately 6.5). The pH i sensitivity of ROMK1 channels mediated by PKC appeared to be dependent of PIP2 depletion. The giant patch clamp together with site direct mutagenesis revealed that Thr-193 is the phosphorylation site on PKC that regulates the pH i sensitivity of ROMK1 channels. Mutation of PKC-induced phosphorylation sites (T193A) decreases the pH i sensitivity and increases the interaction of channel-PIP2. Taken together, these results provide new insights into the molecular mechanisms underlying the pH i gating of ROMK1 channel regulation by PKC.
- Published
- 2011
31. SAXS structural analysis of human thrombomodulin domains
- Author
-
Kuo-Long Lou, Tsung-wei Su, Guey-Yueh Shi, Hua-Lin Wu, and Po-Tsang Huang
- Subjects
Materials science ,Structural Biology ,Small-angle X-ray scattering ,Biophysics ,Thrombomodulin - Published
- 2010
- Full Text
- View/download PDF
32. PPDock-Portal Patch Dock: a web server for drug virtual screen and visualizing the docking structure by GP and x-score
- Author
-
Chin-Tzong Pang, Chi-Hwa Wang, Po-Tsang Huang, Kuo-Long Lou, and Pei-Hua Lo
- Subjects
Web server ,Structural Biology ,Human–computer interaction ,Docking (molecular) ,Computer science ,DOCK ,Virtual screen ,computer.software_genre ,computer - Published
- 2010
- Full Text
- View/download PDF
33. SAXS/SANS structural analysis of human thrombomodulin domains
- Author
-
Kuo-Long Lou, Po-Tsang Huang, Guey-Yueh Shi, and Hua-Lin Wu
- Subjects
Structural Biology - Published
- 2009
- Full Text
- View/download PDF
34. Improvement of drug virtual screen by GA/GP: docking studies on tubulin inhibitors as anticancer agents
- Author
-
Chin-Tzong Pang, Kuo-Long Lou, Chi-Hwa Wang, Po-Tsang Huang, and Shiao-Chun Wang
- Subjects
Drug ,Tubulin Inhibitors ,Structural Biology ,Docking (molecular) ,Chemistry ,media_common.quotation_subject ,Virtual screen ,Computational biology ,Pharmacology ,media_common - Published
- 2009
- Full Text
- View/download PDF
35. A smallest 6 kda metalloprotease, mini-matrilysin, in living world: a revolutionary conserved zinc-dependent proteolytic domain- helix-loop-helix catalytic zinc binding domain (ZBD)
- Author
-
Chen Lin, Po-Tsang Huang, Wei-Hsuan Yu, Shuan-su C. Yu, and Kuo-Long Lou
- Subjects
Protein Folding ,Helix-loop-helix ,Protein Conformation ,Endocrinology, Diabetes and Metabolism ,Molecular Sequence Data ,Clinical Biochemistry ,Beta sheet ,lcsh:Medicine ,Biology ,Zinc-dependent proteolytic domain ,Substrate Specificity ,Protein structure ,Thermolysin ,Catalytic Domain ,Consensus Sequence ,Animals ,Humans ,Pharmacology (medical) ,Amino Acid Sequence ,Histone octamer ,Binding site ,Matrilysin ,Molecular Biology ,Peptide sequence ,Biochemistry, medical ,Binding Sites ,Research ,Catalytic zinc binding domain ,Helix-Loop-Helix Motifs ,lcsh:R ,Biochemistry (medical) ,Cell Biology ,General Medicine ,Protein Structure, Tertiary ,Rats ,Zinc ,Biochemistry ,Matrix Metalloproteinase 7 ,SC44463 ,Protein folding - Abstract
Background The Aim of this study is to study the minimum zinc dependent metalloprotease catalytic folding motif, helix B Met loop-helix C, with proteolytic catalytic activities in metzincin super family. The metzincin super family share a catalytic domain consisting of a twisted five-stranded β sheet and three long α helices (A, B and C). The catalytic zinc is at the bottom of the cleft and is ligated by three His residues in the consensus sequence motif, HEXXHXXGXXH, which is located in helix B and part of the adjacent Met turn region. An interesting question is - what is the minimum portion of the enzyme that still possesses catalytic and inhibitor recognition?” Methods We have expressed a 60-residue truncated form of matrilysin which retains only the helix B-Met turn-helix C region and deletes helix A and the five-stranded β sheet which form the upper portion of the active cleft. This is only 1/4 of the full catalytic domain. The E. coli derived 6 kDa MMP-7 ZBD fragments were purified and refolded. The proteolytic activities were analyzed by Mca-Pro-Leu-Gly-Leu-Dpa-Ala-Arg-NH2 peptide assay and CM-transferrin zymography analysis. SC44463, BB94 and Phosphoramidon were computationally docked into the 3day structure of the human MMP7 ZBD and TAD and thermolysin using the docking program GOLD. Results This minimal 6 kDa matrilysin has been refolded and shown to have proteolytic activity in the Mca-Pro-Leu-Gly-Leu-Dpa-Ala-Arg-NH2 peptide assay. Triton X-100 and heparin are important factors in the refolding environment for this mini-enzyme matrilysin. This minienzyme has the proteolytic activity towards peptide substrate, but the hexamer and octamer of the mini MMP-7 complex demonstrates the CM-transferrin proteolytic activities in zymographic analysis. Peptide digestion is inhibited by SC44463, specific MMP7 inhibitors, but not phosphorimadon. Interestingly, the mini MMP-7 can be processed by autolysis and producing ~ 6 ~ 7 kDa fragments. Thus, many of the functions of the enzyme are retained indicating that the helix B-Met loop-helix C is the minimal functional “domain” found to date for the matrixin family. Conclusions The helix B-Met loop-helix C folding conserved in metalloprotease metzincin super family is able to facilitate proteolytic catalysis for specific substrate and inhibitor recognition. The autolysis processing and producing 6 kDa mini MMP-7 is the smallest metalloprotease in living world.
- Published
- 2012
- Full Text
- View/download PDF
36. Small-angle X-ray scattering analysis of the ectodomains of VEGFR-3 and the VEGF-C ligand complex
- Author
-
Po-Tsang Huang, Tsung-wei Su, U-Ser Jeng, Kuo-Long Lou, Yu-Shan Huang, and San-Tai Shen
- Subjects
Crystallography ,biology ,Structural Biology ,Chemistry ,Small-angle X-ray scattering ,VEGF receptors ,biology.protein ,Ligand (biochemistry) - Published
- 2010
- Full Text
- View/download PDF
37. Crystal structural determination and SAXS/SANS structural analysis of human thrombomodulin domains
- Author
-
G.-Y. Shi, K.-L. Lou, Po-Tsang Huang, and H.-L. Hu
- Subjects
Crystal ,Crystallography ,Materials science ,Structural Biology ,Small-angle X-ray scattering ,Thrombomodulin - Published
- 2008
- Full Text
- View/download PDF
38. RCorrection: A smallest 6 kda metalloprotease, mini-matrilysin, in living world: a revolutionary conserved zinc-dependent proteolytic domain- helix-loop-helix catalytic zinc binding domain (ZBD).
- Author
-
Wei-Hsuan Yu, Po-Tsang Huang, Kuo-Long Lou, Shuan-Su C Yu, and Chen Lin
- Subjects
- *
METALLOPROTEINASES , *PROTEOLYTIC enzymes , *ZINC - Abstract
A correction to the article "A smallest 6 kda metalloprotease, mini-matrilysin, in living world: a revolutionary conserved zinc-dependent proteolytic domain- helix-loop-helix catalytic zinc binding domain (ZBD)," by Wei-Hsuan and colleagues is presented.
- Published
- 2012
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.