54 results on '"Yongliang Li"'
Search Results
2. Modulating the electronic spin state by constructing dual-metal atomic pairs for activating the dynamic site of oxygen reduction reaction
- Author
-
Shenghua Ye, Shuhua Xie, Yaqi Lei, Xiuyuan Yang, Jing Hu, Lirong Zheng, Zhida Chen, Yonghuan Fu, Xiangzhong Ren, Yongliang Li, Xiaoping Ouyang, Qianling Zhang, Jianhong Liu, and Xueliang Sun
- Subjects
General Materials Science ,Electrical and Electronic Engineering ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics - Published
- 2022
3. Study on the Effect of Void Defect on Mechanical Properties of Carbon Fiber Composites by Finite Element Method
- Author
-
Yongliang Li, Li Zhou, Mingyuan Zhang, and Chengyu Song
- Subjects
Mechanical Engineering ,Aerospace Engineering ,Ocean Engineering ,Industrial and Manufacturing Engineering - Published
- 2022
4. A stacked high-Ge-concentration SiGe/Ge multilayer on a novel Ge + SiGe SRB structure for the gate-all-around MOSFETS
- Author
-
Haoyan Liu, Yongliang Li, Xiaohong Cheng, Chun Li, Jiayi Zhang, Jun Luo, Wenwu Wang, and Tianchun Ye
- Subjects
Electrical and Electronic Engineering ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials - Published
- 2022
5. Fe2O3-MWNTs Composite with Reinforced Concrete Structure as High-performance Anode Material for Lithium-ion Batteries
- Author
-
Suhang Wang, Jinxin Zuo, Yongliang Li, Yiming Zhong, Xiangzhong Ren, Peixin Zhang, and Lingna Sun
- Subjects
General Chemistry - Published
- 2022
6. A comparative study on surface topography and microhardness of laser polished-hardened AISI D2 tool steel
- Author
-
Zuofa Liu, Jie Zhou, Hang Wang, Qiuyun Wang, Qiang Liang, and Yongliang Li
- Subjects
Control and Systems Engineering ,Mechanical Engineering ,Industrial and Manufacturing Engineering ,Software ,Computer Science Applications - Published
- 2022
7. Time-dependent dielectric breakdown (TDDB) for Co0.65Ti0.35 as a single barrier/liner in local Co interconnects
- Author
-
Xuebing Zhou, Jing Xu, Jianfeng Gao, Jinbiao Liu, Dan Zhang, Yaodong Liu, Xianglie Sun, Mengjuan Kong, Yongliang Li, Junfeng Li, Wenwu Wang, Tianchun Ye, and Jun Luo
- Subjects
Electrical and Electronic Engineering ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials - Published
- 2022
8. Generation and expansion of Laguerre–Gaussian beams
- Author
-
Yashuai Yang, Yongliang Li, and Chi Wang
- Subjects
Atomic and Molecular Physics, and Optics - Published
- 2022
9. Study on Real-Time Measurement of Femtosecond Laser Pulse Width Based on Noncollinear Second-Harmonic Generation Effect
- Author
-
Yashuai Yang, Yongliang Li, Chi Wang, and Xiaoting Sun
- Subjects
Engineering (miscellaneous) ,Atomic and Molecular Physics, and Optics - Published
- 2022
10. Mutual Self-Regulation of d-Electrons of Single Atoms and Adjacent Nanoparticles for Bifunctional Oxygen Electrocatalysis and Rechargeable Zinc-Air Batteries
- Author
-
Sundaram Chandrasekaran, Rong Hu, Lei Yao, Lijun Sui, Yongping Liu, Amor Abdelkader, Yongliang Li, Xiangzhong Ren, and Libo Deng
- Subjects
Electrical and Electronic Engineering ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Abstract
Rechargeable zinc-air batteries (ZABs) are a promising energy conversion device, which rely critically on electrocatalysts to accelerate their rate-determining reactions such as oxygen reduction (ORR) and oxygen evolution reactions (OER). Herein, we fabricate a range of bifunctional M–N–C (metal-nitrogen-carbon) catalysts containing M–Nx coordination sites and M/MxC nanoparticles (M = Co, Fe, and Cu) using a new class of γ-cyclodextrin (CD) based metal–organic framework as the precursor. With the two types of active sites interacting with each other in the catalysts, the obtained Fe@C-FeNC and Co@C-CoNC display superior alkaline ORR activity in terms of low half-wave (E1/2) potential (~ 0.917 and 0.906 V, respectively), which are higher than Cu@C-CuNC (~ 0.829 V) and the commercial Pt/C (~ 0.861 V). As a bifunctional electrocatalyst, the Co@C-CoNC exhibits the best performance, showing a bifunctional ORR/OER overpotential (ΔE) of ~ 0.732 V, which is much lower than that of Fe@C-FeNC (~ 0.831 V) and Cu@C-CuNC (~ 1.411 V), as well as most of the robust bifunctional electrocatalysts reported to date. Synchrotron X-ray absorption spectroscopy and density functional theory simulations reveal that the strong electronic correlation between metallic Co nanoparticles and the atomic Co-N4 sites in the Co@C-CoNC catalyst can increase the d-electron density near the Fermi level and thus effectively optimize the adsorption/desorption of intermediates in ORR/OER, resulting in an enhanced bifunctional electrocatalytic performance. The Co@C-CoNC-based rechargeable ZAB exhibited a maximum power density of 162.80 mW cm−2 at 270.30 mA cm−2, higher than the combination of commercial Pt/C + RuO2 (~ 158.90 mW cm−2 at 265.80 mA cm−2) catalysts. During the galvanostatic discharge at 10 mA cm−2, the ZAB delivered an almost stable discharge voltage of 1.2 V for ~ 140 h, signifying the virtue of excellent bifunctional ORR/OER electrocatalytic activity.
- Published
- 2023
11. SiGe Fin field effect transistor (FET) with STI liner skipping and channel passivation engineering
- Author
-
Chun Li, Yongliang Li, Anlan Chen, Fei Zhao, Jun Luo, and Wenwu Wang
- Subjects
Electrical and Electronic Engineering ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials - Published
- 2023
12. Novel Stacked SiGe/Si FinFET Device with Subthreshold Swing of 68 mV/dec Using Optimized Thermal Budget and Channel Passivation Technology
- Author
-
Fei Zhao, Yongliang Li, JiaYi Zhang, Xiaofeng Jia, Anlan Chen, and Wenwu Wang
- Subjects
Electronic, Optical and Magnetic Materials - Published
- 2023
13. Stability analysis of layered floor affected by multiple mining activities in the whole service life
- Author
-
Ye Zhu, Yongliang Li, and Weiyu Li
- Subjects
General Earth and Planetary Sciences ,General Environmental Science - Published
- 2023
14. Rational design of Ru species on N-doped graphene promoting water dissociation for boosting hydrogen evolution reaction
- Author
-
Zhida Chen, Wenda Chen, Lirong Zheng, Tao Huang, Jing Hu, Yaqi Lei, Qi Yuan, Xiangzhong Ren, Yongliang Li, Lei Zhang, Shaoluan Huang, Shenghua Ye, Qianling Zhang, Xiaoping Ouyang, Xueliang Sun, and Jianhong Liu
- Subjects
General Chemistry - Published
- 2022
15. Numerical Simulation of Closing Amount for Aerial Self-Locking Nuts with different Materials
- Author
-
Mingyuan Zhang, Chengyu Song, Yongliang Li, Li Zhou, and Ming Li
- Subjects
Nut ,Computer simulation ,business.industry ,Mechanical Engineering ,Aerospace Engineering ,Ocean Engineering ,Structural engineering ,Thread (computing) ,Industrial and Manufacturing Engineering ,Finite element simulation ,Equivalent stress ,Range (statistics) ,Self locking ,business ,Closing (morphology) ,Mathematics - Abstract
In this work, the closing process of aerial self-locking nuts with different materials was simulated using static analysis in Abaqus/Standard. The effects of different closing amounts of self-locking nuts with different types on the locking performance of self-locking nuts were investigated. In order to analyze the locking performance of self-locking nuts, the method was measured by using the maximum equivalent stress on the root of the third thread. Finally, the equivalent stress range of self-locking nuts of different materials and the closing size range of self-locking nuts of different types were obtained. The equivalent stress ranges of TC4, GH2132 and GH4169 self-locking nuts were 1060–1090 MPa, 664–712 MPa and 860–920 MPa, respectively. At the same time, the corresponding closing amount of M5-M10 self-locking nuts is 0.22–0.46 mm, 0.37–0.64 mm and 0.43–0.75 mm, respectively. (The simulation results show that the equivalent stress of different types of self-locking nuts with the same material shows the characteristics of layered distribution. The equivalent stress of the same type of self-locking nut increases with the increase of the closing amount. When the closing amount is the same, the smaller the type of the nut, the greater the equivalent stress. For the same of the equivalent stress, the closing amount increases with the increase of the type of self-locking nuts.) The conclusions can provide a reasonable theoretical reference for the closing process of aerial self-locking nuts with different materials.
- Published
- 2021
16. Thermal stability issue of ultrathin Ti-based silicide for its application in prospective DRAM peripheral 3D FinFET transistors
- Author
-
Xuebing Zhou, Chao Zhao, Tianchun Ye, Jing Xu, Dapeng Chen, Jianfeng Gao, Dan Zhang, Yongliang Li, Junfeng Li, Yaodong Liu, Jun Luo, Xianglie Sun, Jinbiao Liu, and Wenwu Wang
- Subjects
Dynamic random-access memory ,Materials science ,business.industry ,Annealing (metallurgy) ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry.chemical_compound ,chemistry ,Transmission electron microscopy ,Electrical resistivity and conductivity ,law ,Silicide ,Optoelectronics ,Thermal stability ,Electrical and Electronic Engineering ,business ,Sheet resistance ,Dram - Abstract
In this work, the thermal stability issue of ultrathin Ti-based silicide (TiSix) in prospective dynamic random access memory (DRAM) peripheral 3D FinFET transistors was systematically studied. As-prepared TiSix/n+-Si contacts and ultrathin TiSix films with different annealing temperatures, were characterized by means of specific contact resistivity (ρc), sheet resistance measurement, X-ray diffraction (XRD), transmission electron microscopy (TEM) and energy-dispersive X-ray spectroscopy (EDX). It is shown that the specific contact resistivity (ρc) for TiSix/n+-Si contacts gradually degrades with the increase of annealing temperature in the range 450–900 °C. In addition, it is revealed that though thick TiSi2 is conventionally known as thermal stable silicide, the agglomeration of ultrathin TiSix in the source/drain regions of 3D FinFETs still occurs after DRAM annealing typically at 750 °C for few hours. This agglomeration is thought to be responsible for the deterioration of ρc for TiSix/n+-Si contacts.
- Published
- 2021
17. Granular porous calcium carbonate particles for scalable and high-performance solar-driven thermochemical heat storage
- Author
-
Li Chuan, Yimin Xuan, Yulong Ding, Xianglei Liu, Liang Teng, Hangbin Zheng, Yun Da, Chao Song, Yongliang Li, and Ke Gao
- Subjects
Work (thermodynamics) ,Materials science ,business.industry ,Continuous operation ,General Engineering ,Thermal energy storage ,Energy storage ,chemistry.chemical_compound ,Calcium carbonate ,Chemical engineering ,chemistry ,Particle ,General Materials Science ,Astrophysics::Earth and Planetary Astrophysics ,Porosity ,business ,Solar power - Abstract
Calcium carbonate is promising thermochemical heat storage material for next-generation solar power systems due to its high energy storage density, low cost, and high operation temperature. Researchers have tried to improve energy storage performances of calcium carbonate recently, but most researches focus on powders, which are not suitable for scalable applications. Here, novel granular porous calcium carbonate particles with very high solar absorptance, energy storage density, abrasive resistances, and energy storage rate are proposed for direct solar thermochemical heat storage. The average solar absorptance is improved by 234% compared with ordinary particles. Both cycle stability and abrasive resistances are excellent with almost no decay of energy storage density over 25 cycles nor apparent particle weight loss over 24 h of continuous operation insides a planetary ball mill. In addition, the decomposition temperature is reduced by 2.8%–5.6% while the reaction rate of heat storage is enhanced by 80%–205% depending on the CO2 partial pressure. The decomposition process of doped granular porous CaCO3 particles is found to involve three overlapping processes. This work provides new routes to achieve scalable direct solar thermochemical heat storage for next-generation high-temperature solar power systems.
- Published
- 2021
18. Study on the Laser Beam Polarization Based on LabVIEW
- Author
-
Zhiwen Chen, Chenwen Hu, Xiaoting Sun, Yongliang Li, and Yingming Zhang
- Subjects
Physics ,business.industry ,Photodetector ,02 engineering and technology ,Polarization (waves) ,01 natural sciences ,Waveplate ,Atomic and Molecular Physics, and Optics ,010309 optics ,Azimuth ,symbols.namesake ,020210 optoelectronics & photonics ,Optics ,Expansion card ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,symbols ,Stokes parameters ,Degree of polarization ,business ,Engineering (miscellaneous) ,Beam (structure) - Abstract
With the wide application of laser technology in laser processing and photoelectric detection, the measurement and display of the laser beam polarization has become an extremely important prerequisite. In this paper, we realize the laser polarization measurement tool based on the virtual instrument LabVIEW software platform. The set-up is designated to measure the Stokes parameters for an arbitrarily polarized beam, using the rotating waveplate method provided by the stepper motor, drive circuit, photodetector, and computer processing of the digitized electrical signal in the PCI expansion card. The Poincare sphere is used for the interpretation of the state of polarization (SOP) and degree of polarization (DOP). The working wavelength range is declared to be 400 – 1100 nm, the accuracy of DOP, azimuth measurement, and ellipticity are ±2%, 0.4°, and 0.4°, respectively.
- Published
- 2021
19. Research on Femtosecond-Laser Pulse-Width Measurement Based on LabVIEW
- Author
-
Yongliang Li, Xiaoting Sun, and Yashuai Yang
- Subjects
Physics ,business.industry ,System of measurement ,Autocorrelation ,Physics::Optics ,Laser ,Signal ,Atomic and Molecular Physics, and Optics ,law.invention ,Interferometry ,Optics ,law ,Femtosecond ,Median filter ,business ,Engineering (miscellaneous) ,Pulse-width modulation - Abstract
In this paper, we propose a measurement system based on single autocorrelation technology and combined with LabVIEW software platform to realize the real-time online measurement of the femtosecond-laser pulse width. The system uses an experimental device based on the Mach–Zehnder interferometer, where the second-harmonic signal of femtosecond laser is obtained by the nonlinear effect of light and nonlinear material; the linear grayscale conversion, median filtering, and threshold segmentation are also applied to the second-harmonic signal. The image centroid, autocorrelation curve, and femtosecond-laser pulse width are obtained, using LabVIEW software. In the experiment, a seed laser source with a central wavelength of 800 nm is used as a test object, and the pulse width is measured to be equal to 261 fs.
- Published
- 2021
20. Selective wet etching in fabricating SiGe nanowires with TMAH solution for gate-all-around MOSFETs
- Author
-
Haoyan Liu, Yihong Lu, Anyan Du, Ying Zan, Qingzhu Zhang, Jun Luo, Wenwu Wang, Cheng Xiaohong, Junjie Li, Zhenhua Wu, and Yongliang Li
- Subjects
010302 applied physics ,Materials science ,business.industry ,High selectivity ,Nanowire ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Stack (abstract data type) ,Etching (microfabrication) ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,Selectivity ,business ,Slightly worse - Abstract
In this work, a selective wet etching process of Si to Si0.7Ge0.3 with TMAH solution to fabricate SiGe nanowires is systematically investigated. Initially, the 2.3% TMAH solution at 20 °C is applied for the as grown Si0.7Ge0.3/Si multilayers stack and a “rectangular” profile is achieved at the Si0.7Ge0.3 extremity due to its high selectivity. However, the reduction of Ge concentration due to the Ge interdiffusion caused by the high temperature anneal treatment will attain a reduced selectivity of Si to Si0.7Ge0.3 and a “rounding” Si0.7Ge0.3 extremity profile. Moreover, the profile of the upper Si0.7Ge0.3 extremity is clearly worse than the bottom one. This is because the Ge concentration of upper Si0.7Ge0.3 is 1.5% lower and the Ge interdiffusion of the upper Si0.7Ge0.3 is slightly worse. After increasing the TMAH concentration to 25%, both the upper and bottom Si0.7Ge0.3 extremity can achieve a “rectangular” extremity profile with an almost the same Si0.7Ge0.3 loss ~ 1.5 nm per side. Compared with 2.3% TMAH concentration, the Ge concentration of the etching off SiGe film can be reduced from lower than 29% to lower than 24% using the 25% TMAH solution at 20 °C. Therefore, the 25% TMAH solution at 20 °C is chosen as the optimal selective etching condition for the thermal treated Si0.7Ge0.3/Si multilayers stack samples. Finally, a vertical stacked double SiGe nanowire structure is successfully prepared by utilizing this optimal process condition. This indicates that it is a practicable technique for the selective wet etching of Si in fabricating SiGe nanowires.
- Published
- 2020
21. Nd:GdVO4/Yb:YAG Intracavity Sum-Frequency CW Blue Laser Operating at 484 nm
- Author
-
Xiaoting Sun, Chenwen Hu, and Yongliang Li
- Subjects
Blue laser ,Materials science ,Laser diode ,business.industry ,Slope efficiency ,02 engineering and technology ,Laser ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,law.invention ,010309 optics ,Crystal ,020210 optoelectronics & photonics ,law ,Optical cavity ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Laser beam quality ,Coaxial ,business ,Engineering (miscellaneous) - Abstract
We study a continuous-wave sum-frequency blue laser operating at 484 nm. The laser elaborated is based on the intracavity pumping technology. A 912 nm laser produced by an end-pumped Nd:GdVO4 crystal, using an 808 nm fiber-coupled laser diode, is employed to pump an Yb:YAG crystal in the cavity to achieve the simultaneous oscillations in 912 and 1030 nm lasers. An intracavity sum-frequency achieved in a type-I phase-matched BIBO crystal is used to obtain the 484 nm blue laser output. In our experiment, we apply a linear coaxial laser resonator structure. As a result, we obtain a sumfrequency blue laser output of 317 mW at a pump power of 25 W. The slope efficiency of the designed laser is 2.8%, and the beam quality factors are 1.34 in the X direction and 1.36 in the Y direction, respectively, with the power fluctuations about 3.8% within four hours.
- Published
- 2020
22. Failure analysis and control measures of deep roadway with composite roof: a case study
- Author
-
Yongliang Li, Renshu Yang, Shizheng Fang, Hai Lin, Shaojie Lu, Ye Zhu, and Maosheng Wang
- Subjects
Energy Engineering and Power Technology ,Geotechnical Engineering and Engineering Geology - Abstract
There is great variation in the lithology and lamination thickness of composite roof in coal-measure strata; thus, the roof is prone to delamination and falling, and it is difficult to control the surrounding rock when developing roadway in such rock strata. In deep mining, the stress environment of surrounding rock is complex, and the mechanical response of the rock mass is different from that of the shallow rock mass. For composite-roof roadway excavated in deep rock mass, the key to safe and efficient production of the mine is ensuring the stability of the roadway. The present paper obtains typical failure characteristics and deformation and failure mechanisms of composite-roof roadway with a buried depth of 650 m at Zhaozhuang Coal Mine (Shanxi Province, China). On the basis of determining a reasonable cross-section shape of the roadway and according to the failure characteristics of the composite roof in different regions, the roof is divided into an unstable layer, metastable layer, and stable layer. The controlled unstable layer and metastable layer are regarded as a small structure while the stable layer is regarded as a large structure. A superimposed coupling support technology of large and small structures with a multi-level prestressed bearing arch formed by strong rebar bolts and highly prestressed cable bolts is put forward. The support technology provides good application results in the field. The study thus provides theoretical support and technical guidance for ground control under similar geological conditions.
- Published
- 2022
23. Research on Er3+:ZBLAN fiber laser based on composite F-P cavity
- Author
-
Xiaokun Gu, Yongliang Li, Chao Yang, Yipeng Zhang, Yingming Zhang, Hongxin Liu, and Weiwei Hu
- Subjects
Materials science ,Composite number ,02 engineering and technology ,01 natural sciences ,law.invention ,010309 optics ,chemistry.chemical_compound ,020210 optoelectronics & photonics ,law ,Fiber laser ,ZBLAN ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Fiber ,Electrical and Electronic Engineering ,business.industry ,Condensed Matter Physics ,Laser ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Power (physics) ,Wavelength ,chemistry ,Optoelectronics ,Photonics ,business - Abstract
2 μm/3 μm laser has been extensively applied to the fields of medical treatment, communication and detection. In this paper, the genetic algorithm is innovatively used to solve the fiber power transmission equation, which improves the accuracy of simulation results. According to the simulation results, a dual-wavelength Er3+:ZBLAN fiber laser based on composite Fabry-Perot (F-P) cavity was designed and constructed. A stable continuous dual-wavelength output was obtained with a pump power of 50 W. The center wavelengths were 2.79 μm and 1.59 μm, respectively, the maximum output powers were 8.19 W and 2.8 W, respectively, the slope efficiencies were 17.7% and 7.17%, respectively, and the stability of the wavelengths in 2 h were 4.6% and 3.1%, respectively.
- Published
- 2020
24. Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device
- Author
-
Huaxiang Yin, Jun Luo, Anyan Du, Xiaolei Wang, Hong Yang, Junfeng Li, Wenwu Wang, Xueli Ma, Zhiqian Zhao, Cheng Xiaohong, Qingzhu Zhang, Jing Xu, Gaobo Xu, Ying Zan, Junjie Li, Haoyan Liu, Yongliang Li, and Guilei Wang
- Subjects
010302 applied physics ,Materials science ,Annealing (metallurgy) ,business.industry ,Etching rate ,Condensed Matter Physics ,Epitaxy ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Smooth surface ,Crystal ,Thermal instability ,Shallow trench isolation ,Chemical-mechanical planarization ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
In this work, SiGe Fin formation technique using shallow trench isolation (STI) first or STI last strategy for the high mobility channel FinFET device is systematically investigated. A 20 nm width and 35 nm height high crystalline quality of the Si0.7Ge0.3 Fin formation for STI first scheme is demonstrated by utilizing a new developed Si Fin etching, Si Fin recess and SiGe selective epitaxial growth process. For the STI last strategy, a novel chemical mechanical planarization (CMP) treated three-layer SiGe strain relaxed buffer (SRB) is successfully fabricated and a 50 nm high crystal quality and atomically smooth surface Si0.5Ge0.5 layer on this SRB is attained. Moreover, a spike annealing is employed to avoid the Si0.5Ge0.5 Fin oxidation during STI densification with an acceptable STI etching rate. However, both a spike annealing and a lower temperature of traditional furnace at 850 °C or 750 °C suffer micro-trench issue during the Fin reveal process. Therefore, a new developed process, named as STI recess first, is developed to resolve both thermal instability and micro-trench issue at the same time. A minor Si0.5Ge0.5 Fin loss with a sharp Si0.7Ge0.3 SRB/Si0.5Ge0.5 interfaces for STI last scheme is realized by utilizing this new developed STI recess first process.
- Published
- 2019
25. Encapsulation of 2-amino-2-methyl-1-propanol with tetraethyl orthosilicate for CO2 capture
- Author
-
Yulong Ding, Sidra Rama, Yongliang Li, Yan Zhang, and Fideline Tchuenbou-Magaia
- Subjects
Materials science ,Sorbent ,Silicon dioxide ,General Chemical Engineering ,0211 other engineering and technologies ,Nanoparticle ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Tetraethyl orthosilicate ,chemistry.chemical_compound ,Adsorption ,chemistry ,Chemical engineering ,Emulsion ,Ionic liquid ,021108 energy ,0210 nano-technology ,Hybrid material - Abstract
Carbon capture is widely recognised as an essential strategy to meet global goals for climate protection. Although various CO2 capture technologies including absorption, adsorption and membrane exist, they are not yet mature for post-combustion power plants mainly due to high energy penalty. Hence researchers are concentrating on developing non-aqueous solvents like ionic liquids, CO2-binding organic liquids, nanoparticle hybrid materials and microencapsulated sorbents to minimize the energy consumption for carbon capture. This research aims to develop a novel and efficient approach by encapsulating sorbents to capture CO2 in a cold environment. The conventional emulsion technique was selected for the microcapsule formulation by using 2-amino-2-methyl-1-propanol (AMP) as the core sorbent and silicon dioxide as the shell. This paper reports the findings on the formulated microcapsules including key formulation parameters, microstructure, size distribution and thermal cycling stability. Furthermore, the effects of microcapsule quality and absorption temperature on the CO2 loading capacity of the microcapsules were investigated using a self-developed pressure decay method. The preliminary results have shown that the AMP microcapsules are promising to replace conventional sorbents.
- Published
- 2019
26. Study of selective isotropic etching Si1−xGex in process of nanowire transistors
- Author
-
Tairan Hu, Yijun Wei, Junjie Li, Yongliang Li, Huilong Zhu, Zhenzhen Kong, Tao Yang, Xiaolei Wang, Hong Yang, Huaxiang Yin, Fu Jianyu, Junfeng Li, Jianghao Han, Henry H. Radamson, Guilei Wang, Xiaogen Yin, Chen Li, Xueli Ma, Na Zhou, Wenwu Wang, and Jing Zhang
- Subjects
010302 applied physics ,Microelectromechanical systems ,Materials science ,business.industry ,Transistor ,Condensed Matter Physics ,01 natural sciences ,Isotropic etching ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Etching (microfabrication) ,law ,0103 physical sciences ,Remote plasma ,Optoelectronics ,Dry etching ,Electrical and Electronic Engineering ,Inductively coupled plasma ,business ,Layer (electronics) - Abstract
On approach towards the end of technology roadmap, a revolutionary approach towards the nanowire transistors is favorable due to the full control of carrier transport. The transistor design moves toward vertically or laterally stacked Gate-All-Around (GAA) where Si or SiGe can be used as channel material. This study presents a novel isotropic inductively coupled plasma (ICP) dry etching of Si1−xGex (0.10 ≤ x ≤ 0.28) in SiGe/Si multilayer structures (MLSs) with high selectivity to Si, SiO2, Si3N4 and SiON which can be applied in advanced 3D transistors and Micro-Electro-Mechanical System (MEMS) in future. The profile of SiGe etching for different thicknesses, compositions and locations in MLSs using dry or wet etch have been studied. A special care has been spent for layer quality of Si, strain relaxation of SiGe layers as well as residual contamination during the etching. In difference with dry etching methods (downstream remote plasma), the conventional ICP source in situ is used where CF4/O2/He gas mixture was used as the etching gas to obtain higher selectivity. Based on the reliability of ICP technique a range of etching rate 25–50 nm/min can be obtained for accurate isotropic etching of Si1−xGex, to form cavity in advanced 3D transistor processes in future.
- Published
- 2019
27. Overlying strata movement law of continuous mining and continuous backfilling cemented-fill mining
- Author
-
Ziyi Fan, Hai Lin, Yongliang Li, Bin Lu, Zhuangzhuang Li, Renshu Yang, and Shizheng Fang
- Subjects
Global and Planetary Change ,Computer simulation ,business.industry ,Movement (music) ,Coal mining ,Soil Science ,Geology ,Pollution ,Stress (mechanics) ,Mining engineering ,Deflection (engineering) ,Groundwater-related subsidence ,Environmental Chemistry ,Coal ,business ,Roof ,Earth-Surface Processes ,Water Science and Technology - Abstract
Aiming at the problem of mining coal resources under the Yuxing Mine Ecological Park in Inner Mongolia, China, we adopted a continuous mining and continuous backfilling (CMCB) cemented-fill mining method; based on the systematic description of the mining and backfilling craft of the CMCB mining process, comprehensive use of theoretical analysis, numerical simulation, and similar simulation test methods to analyze the control mechanism of overlying strata. By establishing the mechanical model of roof movement for the CMCB mining, the roof deflection curve equation is deduced, and the roof instability criterion is put forward based on the maximum tensile stress criterion. Numerical simulation showed that the stress curve at the shallow part of the roof fluctuates up and down in a “w” shape, the stress curve of the upper surrounding rock sinks slowly, and the coal pillars and filling bodies are alternately loaded during the staged mining process to jointly limit the movement of the overlying strata. The similar simulation test results showed that the roof has no structural damage, the roof cracks are not obvious, and the overlying strata control effect is good. On site tests showed that the CMCB mining method can effectively limit the movement of the overlying rock in the stope, control the surface subsidence of the ecological park, and increase the recovery rate of coal resources. It can provide a reference for the development of coal resources and ecological protection in a fragile environment.
- Published
- 2021
28. Growing collaborations between Chinese and UK young scholars on chemical science and technology
- Author
-
Weiping Wu, Ruijiao Dong, Xiaolei Fan, and Yongliang Li
- Subjects
Materials science ,Chemical science ,General Chemical Engineering ,Engineering ethics - Published
- 2021
29. Comprehensive genomic survey, structural classification and expression analysis of C2H2-type zinc finger factor in wheat (Triticum aestivum L.)
- Author
-
Aolong Sun, Xiaoxiao Zou, Ruqiong Cai, Qun Wu, Hai Xie, Yongliang Li, Guo Xinhong, Fenglin Chen, and Meng Zhang
- Subjects
Crops, Agricultural ,Protein domain ,Triticum aestivum ,Plant Science ,Expression patterns ,Genes, Plant ,Subet-specific motifs ,Transcriptome ,C2H2-ZFP ,Gene Expression Regulation, Plant ,Stress, Physiological ,Arabidopsis ,CYS2-HIS2 Zinc Fingers ,Transcription factor ,Gene ,Phylogeny ,Triticum ,Plant Proteins ,Synteny ,Molecular breeding ,Genetics ,Zinc finger ,biology ,Research ,Gene Expression Profiling ,fungi ,Botany ,food and beverages ,Zinc Fingers ,biology.organism_classification ,QK1-989 ,Molecular structural analysis ,Genome, Plant - Abstract
Background The C2H2-type zinc finger proteins (C2H2-ZFPs) are one of major classes of transcription factors that play important roles in plant growth, development and stress responses. Limit information about the C2H2-ZF genes hinders the molecular breeding in bread wheat (Triticum aestivum). Results In this study, 457 C2H2-ZFP proteins (including 253 splice variants), which contain four types of conserved domain (named Q, M, Z, and D), could be further classified into ten subsets. They were identified to be distributed in 21 chromosomes in T. aestivum. Subset-specific motifs, like NPL-, SFP1-, DL- (EAR-like-motif), R-, PL-, L- and EK-, might make C2H2-ZFP diverse multifunction. Interestingly, NPL- and SFP1-box were firstly found to be located in C2H2-ZFP proteins. Synteny analyses showed that only 4 pairs of C2H2 family genes in T. aestivum, 65 genes in B. distachyon, 66 genes in A. tauschii, 68 genes in rice, 9 genes in Arabidopsis, were syntenic relationships respectively. It indicated that TaZFPs were closely related to genes in Poaceae. From the published transcriptome data, totally 198 of 204 TaC2H2-ZF genes have expression data. Among them, 25 TaC2H2-ZF genes were certificated to be significantly differentially expressed in 5 different organs and 15 different development stages by quantitative RT-PCR. The 18 TaC2H2-ZF genes were verified in response to heat, drought, and heat & drought stresses. According to expression pattern analysis, several TaZFPs, like Traes_5BL_D53A846BE.1, were not only highly expressed in L2DAAs, RTLS, RMS, but also endowed tolerance to drought and heat stresses, making them good candidates for molecular breeding. Conclusions This study systematically characterized the TaC2H2-ZFPs and their potential roles in T. aestivum. Our findings provide new insights into the C2H2-ZF genes in T. aestivum as well as a foundation for further studies on the roles of TaC2H2-ZF genes in T. aestivum molecular breeding.
- Published
- 2021
30. Fabrication of form stable NaCl-Al2O3 composite for thermal energy storage by cold sintering process
- Author
-
Yulong Ding, Qinghua Yu, Yongliang Li, and Bilyaminu Suleiman
- Subjects
Materials science ,Scanning electron microscope ,020209 energy ,General Chemical Engineering ,Composite number ,Sintering ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Thermal energy storage ,Grain growth ,Flexural strength ,visual_art ,0202 electrical engineering, electronic engineering, information engineering ,visual_art.visual_art_medium ,Ceramic ,Composite material ,0210 nano-technology ,Thermal analysis - Abstract
A form stable NaCl-Al2O3 (50–50 wt-%) composite material for high temperature thermal energy storage was fabricated by cold sintering process, a process recently applied to the densification of ceramics at low temperature 300°C under uniaxial pressure in the presence of small amount of transient liquid. The fabricated composite achieved as high as 98.65% of the theoretical density. The NaCl-Al2O3 composite also retained the chloride salt without leakage after 30 heating-cooling cycles between 750°C–850°C together with a holding period of 24 h at 850°C. X-ray diffraction measurements indicated congruent solubility of the alumina in chloride salt, excellent compatibility of NaCl with Al2O3, and chemical stability at high temperature. Structural analysis by scanning electron microscope also showed limited grain growth, high density, uniform NaCl distribution and clear faceted composite structure without inter-diffusion. The latent heat storage density of 252.5 J/g was obtained from simultaneous thermal analysis. Fracture strength test showed high sintered strength around 5 GPa after 50 min. The composite was found to have fair mass losses due to volatilization. Overall, cold sintering process has the potential to be an efficient, safe and cost-effective strategy for the fabrication of high temperature thermal energy storage materials.
- Published
- 2019
31. A novel three-layer graded SiGe strain relaxed buffer for the high crystal quality and strained Si0.5Ge0.5 layer epitaxial grown
- Author
-
Jun Luo, Huaxiang Yin, Shihai Gu, Qingzhu Zhang, Zhiqian Zhao, Anyan Du, Gaobo Xu, Guilei Wang, Hong Yang, Junfeng Li, Xueli Ma, Yongliang Li, Wenwu Wang, Xiaolei Wang, and Yan Li
- Subjects
010302 applied physics ,Materials science ,business.industry ,Annealing (metallurgy) ,Nanowire ,Stacking ,Condensed Matter Physics ,Epitaxy ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Buffer (optical fiber) ,Electronic, Optical and Magnetic Materials ,Crystal ,Chemical-mechanical planarization ,0103 physical sciences ,Surface roughness ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
In this work, a novel three-layer SiGe strain relaxed buffer/strained Si0.5Ge0.5 layer stacking structure is systematically investigated. The novel three-layer graded SiGe strain relaxed buffer, whose Ge concentration increased from bottom to top by roughly 10% with an in situ annealing after each layer grown, can effectively constrain the threading dislocation in the strain relaxed buffer layer. Moreover, a chemical mechanical planarization process can be applied to the strain relaxed buffer to further improve its surface roughness. A high crystal quality and atomically smooth surface Si0.5Ge0.5 layer can be successfully realized on the novel chemical mechanical planarization-treated three-layer SiGe strain relaxed buffer. This strategy can attain at least 50 nm and 0.6% compressive strained Si0.5Ge0.5 layer and its quantification of the strain level is confirmed by utilizing the scanning moire fringe imaging technique. It can be seen that this novel structure can provide a better mobility and larger width for the FinFET or nanowire SiGe channel device.
- Published
- 2019
32. Co-sputtering Co–Ti alloy as a single barrier/liner for Co interconnects and thermal stability enhancement using TiN metal capping
- Author
-
Jing Xu, Chao Zhao, Guilei Wang, Tianchun Ye, Dan Zhang, Yongliang Li, Junfeng Li, Dapeng Chen, Wenwu Wang, Jun Luo, Shujuan Mao, and Xue Luo
- Subjects
010302 applied physics ,Interconnection ,Materials science ,Alloy ,Stacking ,chemistry.chemical_element ,Dielectric ,engineering.material ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,chemistry ,Sputtering ,law ,0103 physical sciences ,engineering ,Thermal stability ,Electrical and Electronic Engineering ,Composite material ,Tin - Abstract
Scaling of interconnect dimensions is becoming increasingly difficult due to the fast rise in line/via resistance. To alleviate this problem, Co is a high-potential alternative material of Cu or W in metallization schemes. In this work, Co interconnects is imitated, where Co1−xTix is proposed as single barrier/liner to replace conventional thick TiN/Ti bilayer structure, reserving more available space for interconnect metal to achieve overall lower line resistance. The samples with and without Co1−xTix or TiN metal capping were fabricated in attempt to optimize the whole interconnects. Meanwhile, the properties of these films with different stacking structures were comprehensively studied through material analysis instruments. In order to further evaluate the barrier ability of Co1−xTix single layer, the capacitance–voltage (C–V) and current–voltage (I–V) characteristics of MOS capacitors after thermal stress (TS) as well as bias thermal stress (BTS) were analyzed elaborately. Obtained results indicate that Co1−xTix single barrier/line is effective to enhance adhesion property and to restrain Co diffusion into SiO2 dielectric, and TiN metal capping is also indispensable for Co interconnects to improve thermal stability and to prevent Co oxidation.
- Published
- 2019
33. Experimental investigation of fundamental film properties for Co1−xTix alloying films with different compositions (0 ≤ x≤1)
- Author
-
Junfeng Li, Dapeng Chen, Dan Zhang, Jing Xu, Xue Luo, Wenwu Wang, Guilei Wang, Jun Luo, Shujuan Mao, Chao Zhao, Yongliang Li, and Tianchun Ye
- Subjects
010302 applied physics ,Materials science ,Scanning electron microscope ,Annealing (metallurgy) ,Electron energy loss spectroscopy ,chemistry.chemical_element ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,chemistry ,Transmission electron microscopy ,0103 physical sciences ,Thermal stability ,Electrical and Electronic Engineering ,Composite material ,Tin ,Sheet resistance - Abstract
The aggressive shrinkage of contact size unfortunately leads to significant increase of interconnect resistance, especially the irreducible resistance of M0 and/or M1 where thick functional TiN/Ti barrier/liner bilayer occupies too much space of interconnecting metal. In this circumstance, the pursual of alternative barrier/liner working properly is becoming a hot spot. Amorphous Co–Ti alloying film is considered as an active single barrier/liner to replace conventional thick TiN/Ti bilayer structure, achieving lower resistance of interconnects. In this work, the dedicated material properties of Co–Ti films with various content of Ti (from pure Co to pure Ti) are investigated systematically. As-fabricated Co–Ti films were comprehensively characterized in terms of crystalline structure, sheet resistance, surface and interfacial morphology as well as composition using X-ray diffraction, four-probe measurement, scanning electron microscope, and transmission electron microscopy in conjunction with energy-dispersive X-ray spectroscopy and electron energy loss spectroscopy respectively. Obtained results show that taking the low resistivity, thermal stability and Ti segregation at both Co/Co1−xTix and Co1−xTix/SiO2 interface after annealing at 500 °C/30 min into account, the amorphous Co0.75Ti0.25 film is the most proper composition as a single barrier/liner.
- Published
- 2019
34. Dual-wavelength erbium-doped fluoride fiber laser
- Author
-
Hongxin Liu, Weiwei Hu, Xiaokun Gu, Chao Yang, Aofei Mao, Yipeng Zhang, and Yongliang Li
- Subjects
Materials science ,chemistry.chemical_element ,Dermatology ,law.invention ,Erbium ,Fluorides ,030207 dermatology & venereal diseases ,03 medical and health sciences ,chemistry.chemical_compound ,0302 clinical medicine ,law ,ZBLAN ,Fiber laser ,business.industry ,Slope efficiency ,Doping ,030206 dentistry ,Photoelectric effect ,Laser ,Wavelength ,chemistry ,Thermodynamics ,Optoelectronics ,Surgery ,Lasers, Semiconductor ,business - Abstract
The laser source with 3 μm/2 μm output wavelength has many application prospects in clinical medicine, photoelectric countermeasure, and scientific research measurement. An Er3+ doped ZBLAN fiber laser with output wavelength of 2 .8 μm and 1 .6 μm is experimentally studied. By setting the pump power to 5 W, a continuous dual-wavelength output with a central wavelength of 2.803 μm and 1.61 μm is obtained and the corresponding maximum output power is 362.4 mW and 108.6 mW. The slope efficiency is 12.1% and 4.94% respectively. What’s more, the slope efficiency is 12.1% and 4.94% respectively, and the fluctuation rates of peak power of the two wavelengths are 9.7% and 2.1% within 4 h which indicate that the laser has relatively good stability.
- Published
- 2019
35. TagBiFC technique allows long-term single-molecule tracking of protein-protein interactions in living cells
- Author
-
Yong Zeng, Chaoying Sun, Shipeng Shao, Yongliang Li, Yujie Sun, and Hongchen Zhang
- Subjects
Time Factors ,QH301-705.5 ,Medicine (miscellaneous) ,Cellular imaging ,Article ,Fluorescence imaging ,General Biochemistry, Genetics and Molecular Biology ,Protein–protein interaction ,03 medical and health sciences ,Bimolecular fluorescence complementation ,chemistry.chemical_compound ,0302 clinical medicine ,Cell Line, Tumor ,Protein Interaction Mapping ,Humans ,Nucleosome ,Biology (General) ,Transcription factor ,Fluorescent Dyes ,030304 developmental biology ,0303 health sciences ,Binding Sites ,DNA ,Fluorescence ,Single Molecule Imaging ,Chromatin ,Microscopy, Fluorescence ,chemistry ,Biophysics ,General Agricultural and Biological Sciences ,030217 neurology & neurosurgery ,Protein Binding ,Transcription Factors - Abstract
Protein-protein interactions (PPIs) are critical for cellular activity regulation. Visualization of PPIs using bimolecular fluorescence complementation (BiFC) techniques helps to understand how PPIs implement their functions. However, current BiFC is based on fluorescent proteins and the brightness and photostability are suboptimal for single molecule tracking experiments, resulting in either low spatiotemporal resolution or incapability of tracking for extended time course. Here, we developed the TagBiFC technique based on split HaloTag, a self-labeling tag that could conjugate an organic dye molecule and thus offered better brightness and photostability than fluorescent proteins for PPI visualization inside living cells. Through screening and optimization, we demonstrated that the reconstituted HaloTag exhibited higher localization precision and longer tracking length than previous methods. Using TagBiFC, we reveal that the dynamic interactions of transcription factor dimers with chromatin DNA are distinct and closely related to their dimeric states, indicating a general regulatory mechanism for these kinds of transcription factors. In addition, we also demonstrated the advantageous applications of TagBiFC in single nucleosome imaging, light-burden imaging of single mRNA, low background imaging of cellular structures. We believe these superior properties of our TagBiFC system will have broad applications in the studies of single molecule imaging inside living cells., Shao et al. develop an imaging probe, TagBiFC, to visualize protein-protein interaction in living cells with better signal-to-noise ratio and photostability than fluorescent proteins. This probe provides better localization and longer tracking time and authors visualise transcription factor dimerization, single-molecule nucleosome, and background-free mRNA imaging using TagBiFC.
- Published
- 2021
36. Coal pillar size design and surrounding rock control techniques in deep longwall entry
- Author
-
Renshu Yang, Weiyu Li, Hai Lin, Yongliang Li, and Zhu Ye
- Subjects
Computer simulation ,Deformation (mechanics) ,business.industry ,Constitutive equation ,Coal mining ,Stress (mechanics) ,Mining engineering ,General Earth and Planetary Sciences ,Coal ,Bearing capacity ,Rock mass classification ,business ,Geology ,General Environmental Science - Abstract
We combine experimental data, FLAC3D numerical simulation results, and on-site industrial tests to study the problem of coal pillar size design in longwall entry. The Zhaozhuang coal mine located in Changzhi City, Shanxi Province, China, is used as a case study. We use an inversion calculation of the double-yield constitutive model to improve the reliability of the simulation results. Calculated results are fitted using a theoretical value of the Salamon strength formula to obtain mechanical parameters of the rock mass in the goaf, which are used to numerically simulate its compaction-bearing characteristics. The stress distribution state and plastic zone failure characteristics of entry surrounding rock under different coal pillar widths during mining are obtained by numerical calculation. The results show that increased coal pillar size leads to a gradual shift of the peak stress from the solid coal side to the coal pillar side. Use of an 8-m coal pillar generates a lower stress environment and has sufficient bearing capacity to remain stable. Based on the results obtained here, we propose differentiated support techniques that address the differential deformation and stress distribution of surrounding rock both sides of the goaf-side entry. On-site industrial tests show that the proposed support technology effectively reduces the deformation variation and ensures safe entry use during servicing.
- Published
- 2020
37. Generation of functional salivary gland tissue from human submandibular gland stem/progenitor cells
- Author
-
Waner Hu, Xin Zhang, Yi Sui, Yanrui Feng, Siqi Zhang, Yuanyuan Zhang, Jingwei Xiong, Yongliang Li, and Shicheng Wei
- Subjects
Adult ,0301 basic medicine ,Mesenchyme ,Submandibular Gland ,Saliva secretion ,Mice, Nude ,Medicine (miscellaneous) ,Biology ,Xerostomia ,Biochemistry, Genetics and Molecular Biology (miscellaneous) ,Salivary Glands ,lcsh:Biochemistry ,Mice ,03 medical and health sciences ,0302 clinical medicine ,stomatognathic system ,medicine ,Animals ,Humans ,lcsh:QD415-436 ,Mouse embryonic salivary gland mesenchyme ,Progenitor cell ,lcsh:R5-920 ,Human salivary gland stem cells ,Salivary gland regeneration ,Salivary gland ,Stem Cells ,Research ,030206 dentistry ,Cell Biology ,Molecular biology ,Submandibular gland ,Organoids ,FGF10 ,030104 developmental biology ,medicine.anatomical_structure ,Salivary Gland Diseases ,Molecular Medicine ,Stem cell ,lcsh:Medicine (General) ,Adult stem cell - Abstract
Background Organ replacement regenerative therapy based on human adult stem cells may be effective for salivary gland hypofunction. However, the generated tissues are immature because the signaling factors that induce the differentiation of human salivary gland stem cells into salivary glands are unknown. Methods Isolated human submandibular gland stem/progenitor cells (hSMGepiS/PCs) were characterized and three-dimensionally (3D) cultured to generate organoids and further induced by fibroblast growth factor 10 (FGF10) in vitro. The induced spheres alone or in combination with embryonic day 12.5 (E12.5) mouse salivary gland mesenchyme were transplanted into the renal capsules of nude mice to assess their development in vivo. Immunofluorescence, quantitative reverse transcriptase-polymerase chain reaction, calcium release analysis, western blotting, hematoxylin–eosin staining, Alcian blue–periodic acid-Schiff staining, and Masson’s trichrome staining were performed to assess the structure and function of generated tissues in vitro and in vivo. Results The isolated hSMGepiS/PCs could be long-term cultured with a stable genome. The organoids treated with FGF10 [FGF10 (+) group] exhibited higher expression of salivary gland–specific markers; showed spatial arrangement of AQP5+, K19+, and SMA+ cells; and were more sensitive to the stimulation by neurotransmitters than untreated organoids [FGF10 (−) group]. After heterotopic transplantation, the induced cell spheres combined with mouse embryonic salivary gland mesenchyme showed characteristics of mature salivary glands, including a natural morphology and saliva secretion. Conclusion FGF10 promoted the development of the hSMGepiS/PC-derived salivary gland organoids by the expression of differentiation markers, structure formation, and response to neurotransmitters in vitro. Moreover, the hSMGepiS/PCs responded to the niche in mouse embryonic mesenchyme and further differentiated into salivary gland tissues with mature characteristics. Our study provides a foundation for the regenerative therapy of salivary gland diseases.
- Published
- 2020
38. Influence of an ALD TiN capping layer on the PBTI characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl gate stacks
- Author
-
Tianchun Ye, Hao Xu, Xiaolei Wang, Yongliang Li, Huilong Zhu, Hong Yang, Yanbo Zhang, Junfeng Li, Huaxiang Yin, Bo Tang, Qianqian Liu, Xueli Ma, Lu-Wei Qi, Wenwu Wang, and Chao Zhao
- Subjects
chemistry.chemical_compound ,Materials science ,General Computer Science ,chemistry ,business.industry ,Gate stack ,chemistry.chemical_element ,Optoelectronics ,Tin ,business ,Titanium nitride ,Layer (electronics) - Published
- 2020
39. Enhanced electrocatalytic performance of Fe-TiO2/N-doped graphene cathodes for rechargeable Li-O2 batteries
- Author
-
Jingbo Yang, Yongliang Li, Peixin Zhang, Xiangzhong Ren, Hongwei Mi, Libo Deng, and Lingna Sun
- Subjects
Battery (electricity) ,Materials science ,Graphene ,Nanoparticle ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electrochemistry ,Electrocatalyst ,01 natural sciences ,Cathode ,0104 chemical sciences ,Catalysis ,law.invention ,Chemical engineering ,law ,General Materials Science ,Electrical and Electronic Engineering ,0210 nano-technology ,Dispersion (chemistry) - Abstract
The Fe3+-doped TiO2 on nitrogen-doped graphene (Fe-TiO2/N-doped graphene) electrocatalyst is synthesized and employed as cathode material for Li-O2 battery. Fe-TiO2 nanoparticles show uniform dispersion on N-doped graphene with less agglomeration compared with TiO2 nanoparticles. The improvement of electrochemical performance of Fe-TiO2/N-doped graphene electrocatalyst is due to the changed electronic and structural properties, which result in forming Ti–O–Fe bonds and oxygen vacancy sites in the crystalline structure of TiO2. The Fe-TiO2/N-doped graphene electrode delivers a discharge capacity of 13,500 mA h g−1 (catalytic) and a cyclic retention of 45 cycles, which are much better compared to the pristine counterpart.
- Published
- 2017
40. Electrospun NiCo2S4 with extraordinary electrocatalytic activity as counter electrodes for dye-sensitized solar cells
- Author
-
Yongliang Li, Tingshu He, Peixin Zhang, Libo Deng, Xiangzhong Ren, and Chenle Zhang
- Subjects
chemistry.chemical_classification ,Auxiliary electrode ,Materials science ,Sulfide ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electrochemistry ,01 natural sciences ,Cobalt sulfide ,0104 chemical sciences ,chemistry.chemical_compound ,Nickel ,Dye-sensitized solar cell ,chemistry ,Electrode ,General Materials Science ,Electrical and Electronic Engineering ,0210 nano-technology ,Bimetallic strip - Abstract
The performance of dye-sensitized solar cells (DSSCs) is critically dependent on the catalytic activity of their counter electrode (CE) materials. There are great research interests to develop alternative CE materials to replace the conventional Pt electrode. Herein, nickel cobalt sulfide (NiCo2S4, NCS) particles were prepared through sulfurization of NiCo2O4 (NCO) electrospun nanofibers. The bimetallic sulfide was used as CE for DSSC and exhibited an excellent photoenergy conversion efficiency (PCE) of 7.12%, which is higher than the dye-sensitized solar cells using NCO (5.24%) and Pt CEs (7.05%). Systematic electrochemical characterization suggests that this extraordinary performance of NCS might be related to the improved electrocatalytic ability and electrical conductivity. In view of the low-cost synthesis and outstanding electrochemical performance, the NCS counter electrode would hold great promise for applications in dye-sensitized solar cells.
- Published
- 2017
41. Comparative analysis of the international carbon verification policies and systems
- Author
-
Jianfu Wang, Weiguo Bai, Shiping Jin, Yuhui Jin, and Yongliang Li
- Subjects
Atmospheric Science ,Engineering ,010504 meteorology & atmospheric sciences ,business.industry ,Environmental resource management ,chemistry.chemical_element ,Legislation ,010501 environmental sciences ,Environmental economics ,01 natural sciences ,chemistry ,Greenhouse gas ,Carbon market ,Natural hazard ,Credibility ,Earth and Planetary Sciences (miscellaneous) ,Key (cryptography) ,business ,International standardization ,Carbon ,0105 earth and related environmental sciences ,Water Science and Technology - Abstract
Carbon verification, which can guarantee the reliability and credibility of greenhouse gas (GHG) emission data, is the most important part of the daily operations of the carbon emission right trading system. Many international institutions, countries and regions have conducted research on and have practiced carbon verification policies and systems. Through comparative analysis of the international carbon verification policies and systems, they can provide experience for Chinese unified national carbon market to start supporting carbon verification. The paper study concludes that (1) carbon verification systems developed by international institutions focus on the scientific level of verification methods; (2) carbon verification policies and systems issued by important countries and regions draw on International Standardization Organization (ISO)14064 standards based on their national conditions and focus on the scientific level and reasonableness of verification methods; (3) major international experience includes complete verification policies and systems, strict standard verification procedures, diversified verification forms and a focus on key emission sources. Based on the differences in China’s carbon emissions characteristics caused by unbalanced regional economic development and the conditions of carbon verification in seven pilot carbon trading areas, this thesis proposes the following suggestions: pushing forward the establishment of carbon verification policies and systems by accelerating legislation on climate changes; facilitating carbon verification in a coordinated manner; regulating key GHG emission sources; establishing and improving supervision on carbon verification; and intensifying international exchanges and cooperation.
- Published
- 2016
42. Free-Standing Selenium Impregnated Carbonized Leaf Cathodes for High-Performance Sodium-Selenium Batteries
- Author
-
Bingru Guo, Hongwei Mi, Xiangzhong Ren, Yongliang Li, and Peixin Zhang
- Subjects
Free-standing ,Materials science ,Nano Express ,Carbonization ,Composite number ,food and beverages ,chemistry.chemical_element ,Chemical vapor deposition ,Condensed Matter Physics ,Cathode ,law.invention ,Sodium-selenium battery ,Carbonized leaf ,chemistry ,Chemical engineering ,law ,Binder-free ,lcsh:TA401-492 ,lcsh:Materials of engineering and construction. Mechanics of materials ,General Materials Science ,Capacity loss ,Porosity ,Carbon ,Selenium - Abstract
A novel approach of carbonizing leaves by thermal pyrolysis with melt diffusion followed by selenium vapor deposition is developed to prepare the carbon-selenium composite cathodes for sodium-selenium batteries. The carbonized leaf possesses internal hierarchical porosity and high mass loading; therefore, the composite is applied as a binder- and current collector-free cathode, exhibiting an excellent rate capability and a high reversible specific capacity of 520 mA h g−1 at 100 mA g−1 after 120 cycles and 300 mA h g−1 even at 2 A g−1 after 500 cycles without any capacity loss. Moreover, the unique natural three-dimensional structure and moderate graphitization degree of leaf-based carbon facilitate Na+/e− transport to activate selenium which can guarantee a high utilization of the selenium during discharge/charge process, demonstrating a promising strategy to fabricate advanced electrodes toward the sodium-selenium batteries.
- Published
- 2019
43. In situ growth of morphology-controllable nickel sulfides as efficient counter electrodes for dye-sensitized solar cells
- Author
-
Si-Ning Yun, Peixin Zhang, Chenle Zhang, Yongliang Li, Libo Deng, and Xiangzhong Ren
- Subjects
Auxiliary electrode ,Materials science ,Nickel sulfide ,Inorganic chemistry ,chemistry.chemical_element ,02 engineering and technology ,engineering.material ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electrochemistry ,Tin oxide ,01 natural sciences ,0104 chemical sciences ,Nickel ,Dye-sensitized solar cell ,chemistry.chemical_compound ,chemistry ,Electrode ,engineering ,General Materials Science ,Noble metal ,Electrical and Electronic Engineering ,0210 nano-technology - Abstract
Nickel sulfides (NiSs) with different morphologies (nanocubes, flower-like structure, and nanospheres) have been synthesized on fluorinated tin oxide glass (FTO) substrate using a hydrothermal method, which can be used as transparent counter electrodes (CEs) for dye-sensitized solar cells (DSSCs). The morphology of NiSs can be easily tailored by using different surfactants, and the flower-like NiS structure displays remarkable electrocatalytic activity for reducing I3 −. Therefore, the flower-like NiS CE used in DSSCs generates higher photoelectric conversion efficiency (7.10 %) which is comparable with Pt (7.05 %) under the same conditions. This work paves the way for the substitution of noble metal Pt by the flower-like NiS for CE in DSSCs in the future.
- Published
- 2016
44. Fabrication of hydrophobic structures on coronary stent surface based on direct three-beam laser interference lithography
- Author
-
Longyue Gao, Siqi Wang, Bin Liu, Li Shiming, Zhou Weiqi, Bai Chong, Yongliang Li, Cheng-kun Cui, Junnan Wang, and Wang Yuanbo
- Subjects
Fabrication ,Materials science ,Scanning electron microscope ,medicine.medical_treatment ,02 engineering and technology ,01 natural sciences ,Interference lithography ,law.invention ,010309 optics ,Contact angle ,Optics ,law ,0103 physical sciences ,medicine ,Lotus effect ,Electrical and Electronic Engineering ,Composite material ,business.industry ,Stent ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Laser ,Microstructure ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,0210 nano-technology ,business - Abstract
To solve the problems with coronary stent implantation, coronary artery stent surface was directly modified by three-beam laser interference lithography through imitating the water-repellent surface of lotus leaf, and uniform micro-nano structures with the controllable period were fabricated. The morphological properties and contact angle (CA) of the microstructure were measured by scanning electron microscope (SEM) and CA system. The water repellency of stent was also evaluated by the contact and then separation between the water drop and the stent. The results show that the close-packed concave structure with the period of about 12 μm can be fabricated on the stent surface with special parameters (incident angle of 3°, laser energy density of 2.2 J·cm−2 and exposure time of 80 s) by using the three-beam laser at 1 064 nm, and the structure has good water repellency with CA of 120°.
- Published
- 2016
45. Generation of tooth–periodontium complex structures using high-odontogenic potential dental epithelium derived from mouse embryonic stem cells
- Author
-
Yancong Zhang, Duanqing Pei, Yunfei Zheng, Yongliang Li, Yan Li, Siqi Zhang, Shicheng Wei, Ruirui Shi, Hao Liu, and Jinglei Cai
- Subjects
Periodontium ,0301 basic medicine ,animal structures ,Mesenchyme ,Induced Pluripotent Stem Cells ,Medicine (miscellaneous) ,BMP4 ,Embryoid body ,Biology ,Biochemistry, Genetics and Molecular Biology (miscellaneous) ,Epithelium ,Mesoderm ,lcsh:Biochemistry ,Mice ,03 medical and health sciences ,0302 clinical medicine ,stomatognathic system ,medicine ,Animals ,Regeneration ,lcsh:QD415-436 ,030212 general & internal medicine ,Stem cell transplantation for articular cartilage repair ,lcsh:R5-920 ,Tooth regeneration ,Research ,Cell Differentiation ,Amniotic stem cells ,Cell Biology ,Anatomy ,Dental epithelium ,Cell biology ,stomatognathic diseases ,030104 developmental biology ,medicine.anatomical_structure ,Amniotic epithelial cells ,Odontogenesis ,Molecular Medicine ,Stem cell ,Mouse embryonic stem cells ,lcsh:Medicine (General) ,Tooth ,Adult stem cell - Abstract
Background A number of studies have shown that tooth-like structures can be regenerated using induced pluripotent stem cells and mouse embryonic stem (mES) cells. However, few studies have reported the regeneration of tooth–periodontium complex structures, which are more suitable for clinical tooth transplantation. We established an optimized approach to induce high-odontogenic potential dental epithelium derived from mES cells by temporally controlling bone morphogenic protein 4 (BMP4) function and regenerated tooth–periodontium complex structures in vivo. Methods First, immunofluorescence and quantitative reverse transcription-polymerase chain reaction were used to identify the watershed of skin and the oral ectoderm. LDN193189 was then used to inhibit the BMP4 receptor around the watershed, followed by the addition of exogenous BMP4 to promote BMP4 function. The generated dental epithelium was confirmed by western blot analysis and immunofluorescence. The generated epithelium was ultimately combined with embryonic day 14.5 mouse mesenchyme and transplanted into the renal capsules of nude mice. After 4 weeks, the tooth–periodontium complex structure was examined by micro-computed tomography (CT) and hematoxylin and eosin (H&E) staining. Results Our study found that the turning point of oral ectoderm differentiation occurred around day 3 after the embryoid body was transferred to a common culture plate. Ameloblastin-positive dental epithelial cells were detected following the temporal regulation of BMP4. Tooth–periodontium complex structures, which included teeth, a periodontal membrane, and alveolar bone, were formed when this epithelium was combined with mouse dental mesenchyme and transplanted into the renal capsules of nude mice. Micro-CT and H&E staining revealed that the generated tooth–periodontium complex structures shared a similar histological structure with normal mouse teeth. Conclusions An optimized induction method was established to promote the differentiation of mES cells into dental epithelium by temporally controlling the function of BMP4. A novel tooth–periodontium complex structure was generated using the epithelium. Electronic supplementary material The online version of this article (doi:10.1186/s13287-017-0583-5) contains supplementary material, which is available to authorized users.
- Published
- 2017
46. Synthesis of Si-Sb-ZnO Composites as High-Performance Anodes for Lithium-ion Batteries
- Author
-
Libo Deng, Peixin Zhang, Yongliang Li, Liang Huang, and Xiangzhong Ren
- Subjects
Lithium-ion batteries ,Materials science ,Nano Express ,Composite number ,Alloy ,Nanochemistry ,chemistry.chemical_element ,engineering.material ,Condensed Matter Physics ,Electrochemistry ,Anode ,Ion ,Materials Science(all) ,chemistry ,Electrochemical properties ,engineering ,General Materials Science ,Lithium ,Composite material ,Si-Sb-ZnO composite materials ,Faraday efficiency - Abstract
The Si-Sb-ZnO composites were prepared by a chemical reduction-mechanical alloying method and were employed as anode materials for lithium-ion batteries. The electrochemical performance of the Si-Sb alloy was significantly improved by the addition of ZnO nanoparticles. Especially, the initial specific charge and discharge capacities for Si-Sb-(ZnO)0.3 composite were 845.1 and 1301.5 mAh/g, respectively, while the initial coulombic efficiency was 64.9 %. The capacity remained at 690 mAh/g after 200 cycles, and the capacity retention ratio was 81.6 %, which demonstrated excellent cycling stability and rate capability of the composite materials. Electronic supplementary material The online version of this article (doi:10.1186/s11671-015-1128-4) contains supplementary material, which is available to authorized users.
- Published
- 2015
47. A green laser at 517 nm based on intracavity frequency doubling of the diode-pumped Yb:LO laser
- Author
-
Yongliang Li, Jin-nan Wang, Xiu-fei Li, Hong-wei Hu, Xiao-quan Hai, and Yang Liu
- Subjects
Materials science ,business.industry ,Green laser ,Output coupler ,Condensed Matter Physics ,Laser ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Power (physics) ,law.invention ,Crystal ,Optics ,law ,Optoelectronics ,M squared ,Electrical and Electronic Engineering ,Photonics ,business ,Diode - Abstract
We report for the first time, to our knowledge, the diode-pumped continuous-wave (CW) thin-disk Yb3+-doped Lu2O3 (Yb:LO) laser at 1 034 nm and the second-harmonic generation at 517 nm. With a 6.3% output coupler, the maximum output power is 1.17 W under a pump power of 18.5 W. Moreover, the intracavity second-harmonic generation (SHG) is also achieved with power of 193 mW at 517 nm by using an LiB3O5 (LBO) nonlinear crystal. The beam quality factor M2 is about 1.28. The fluctuation of the output power is about 3% in 1 h.
- Published
- 2014
48. The effect of genetic polymorphisms in the vinyl chloride metabolic pathway on mutagenic risk
- Author
-
Arianne Paroly, Jennifer Schindler, Paul W. Brandt-Rauf, Marie Jeanne Marion, and Yongliang Li
- Subjects
Adult ,Male ,Genotype ,Tumor suppressor gene ,Mutant ,Vinyl Chloride ,Aldehyde dehydrogenase ,Biology ,Cohort Studies ,Proto-Oncogene Proteins p21(ras) ,Occupational Exposure ,Genetics ,Humans ,Genetic Predisposition to Disease ,Allele ,Genetics (clinical) ,Carcinogen ,Aged ,Glutathione Transferase ,ALDH2 ,Aged, 80 and over ,Polymorphism, Genetic ,Oncogene ,Aldehyde Dehydrogenase, Mitochondrial ,Cytochrome P-450 CYP2E1 ,Aldehyde Dehydrogenase ,Middle Aged ,CYP2E1 ,Molecular biology ,Mutagenesis ,Chemical Industry ,Mutation ,Carcinogens ,biology.protein ,Tumor Suppressor Protein p53 ,Metabolic Networks and Pathways - Abstract
Vinyl chloride (VC) is a human carcinogen known to undergo metabolism by cytochrome P450 2E1 (CYP2E1) to reactive intermediates that can cause oncogene and tumor suppressor gene mutations and that are further metabolized by acetaldehyde dehydrogenase (ALDH2) and glutathione-S-transferases (GSTs) to non-mutagenic end products. These metabolic enzymes have known polymorphisms that could lead to increased levels of the VC reactive intermediates and thus an increased risk for mutations and cancer following exposure. Using restriction fragment length polymorphism (RFLP) analysis, we have examined a cohort of 597 French VC workers for polymorphisms in CYP2E1, ALDH2, GSTM1 and GSTT1 in relation to the occurrence of mutant oncogene and tumor suppressor gene biomarkers that are attributable to VC exposure. The presence of the biomarkers for mutant ras-p21 and mutant p53 was found to be highly significantly associated with cumulative VC exposure (P for trend
- Published
- 2007
49. Hierarchical Nanostructures Self-Assembled from a Mixture System Containing Rod-Coil Block Copolymers and Rigid Homopolymers
- Author
-
Shaoliang Lin, Jiaping Lin, Xingyu Zhu, Tao Jiang, Chunhua Cai, and Yongliang Li
- Subjects
Quantitative Biology::Biomolecules ,Multidisciplinary ,Materials science ,Nanostructure ,genetic structures ,Bioinformatics ,Article ,Condensed Matter::Soft Condensed Matter ,Abacus (architecture) ,Electromagnetic coil ,Block (programming) ,Helix ,Copolymer ,Brownian dynamics ,Fiber ,Composite material - Abstract
Self-assembly behavior of a mixture system containing rod-coil block copolymers and rigid homopolymers was investigated by using Brownian dynamics simulations. The morphologies of formed hierarchical self-assemblies were found to be dependent on the Lennard-Jones (LJ) interaction εRR between rod blocks, lengths of rod and coil blocks in copolymer and mixture ratio of block copolymers to homopolymers. As the εRR value decreases, the self-assembled structures of mixtures are transformed from an abacus-like structure to a helical structure, to a plain fiber and finally are broken into unimers. The order parameter of rod blocks was calculated to confirm the structure transition. Through varying the length of rod and coil blocks, the regions of thermodynamic stability of abacus, helix, plain fiber and unimers were mapped. Moreover, it was discovered that two levels of rod block ordering exist in the helices. The block copolymers are helically wrapped on the homopolymer bundles to form helical string, while the rod blocks are twistingly packed inside the string. In addition, the simulation results are in good agreement with experimental observations. The present work reveals the mechanism behind the formation of helical (experimentally super-helical) structures and may provide useful information for design and preparation of the complex structures.
- Published
- 2015
50. Microstructural and tribological behavior of TiAlN/MoS2-Ti coatings
- Author
-
Yongliang Li and Sun-Kyu Kim
- Subjects
Materials science ,Metallurgy ,Metals and Alloys ,chemistry.chemical_element ,Electron microprobe ,Substrate (electronics) ,engineering.material ,Tribology ,Sputter deposition ,Condensed Matter Physics ,Coating ,chemistry ,Materials Chemistry ,engineering ,Physical and Theoretical Chemistry ,Layer (electronics) ,Deposition (law) ,Titanium - Abstract
A TiAlN/MoS 2 -Ti coating was developed to improve the tribological characteristics of a single TiAlN coating. The MoS 2 -Ti layer was deposited by a DC magnetron sputtering system on the hard TiAlN coated SKD-II steel substrate. The titanium content in the MoS 2 -Ti layer was 11.3 at.% determined by EPMA. The surface morphology was observed by FE-SEM. The TiAlN layer exhibited excellent adhesion and hardness. However, the deposition of an MoS 2 -Ti layer on the TiAlN led to a significant improvement in tribological properties without affecting the adhesion to the substrate. The MoS 2 -Ti layer significantly decreased the friction coefficient of the TiAlN coating, and the drop was 48% after MoS 2 -Ti deposition. Also, the MoS 2 -Ti layer remarkably decreased the wear rate of the TiAlN coating.
- Published
- 2006
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.