37 results on '"Goodwin, Frank"'
Search Results
2. SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges
3. Application of differential phase contrast imaging to EUV mask inspection: a numerical study
4. Ruthenium (Ru) peeling and predicting robustness of the capping layer using finite element method (FEM) modeling
5. Extreme ultraviolet mask roughness: requirements, characterization, and modeling
6. Production of EUV mask blanks with low killer defects
7. Driving the industry towards a consensus on high numerical aperture (high-NA) extreme ultraviolet (EUV)
8. Direct measurement of carbon contamination topography on patterned EUV masks
9. Investigation of EUVL reticle capping layer peeling under wet cleaning
10. Recent advances in SEMATECH's mask blank development program, the remaining technical challenges, and future outlook
11. EUV scatterometry-based measurement method for the determination of phase roughness
12. Defect printability studies at SEMATECH
13. Low thermal expansion material (LTEM) cleaning and optimization for extreme ultraviolet (EUV) blank deposition
14. Challenges in EUV mask blank deposition for high volume manufacturing
15. Development of an atomic hydrogen system for treatment of EUV mask blanks
16. Defect printability comparing actinic printing with advanced simulation for EUV masks
17. Dressed-photon nanopolishing for extreme ultraviolet mask substrate defect mitigation
18. A simulation study of cleaning induced EUV reflectivity loss mechanisms on mask blanks
19. Understanding the ion beam in EUV mask blank production
20. Investigation of EUV haze defect: molecular behaviors of mask cleaning chemicals on EUV mask surfaces
21. Optimizing EUV mask blank cleaning processes using the Lasertec M7360
22. Effect of SPM-based cleaning POR on EUV mask performance
23. Gas-based spectral filter for mitigating 10.6 μm radiation in CO 2 laser produced plasma extreme ultraviolet sources
24. Carbon contamination topography analysis of EUV masks
25. Particle protection capability of SEMI-compliant EUV-pod carriers
26. Characterization of promising resist platforms for sub-30-nm HP manufacturability and EUV CAR extendibility study
27. SEMATECH EUVL mask program status
28. Assessment of EUV resist readiness for 32-nm hp manufacturing and extendibility study of EUV ADT using state-of-the-art resist
29. Mask defect verification using actinic inspection and defect mitigation technology
30. Carbon contamination of extreme ultraviolet (EUV) masks and its effect on imaging
31. EUV actinic defect inspection and defect printability at the sub-32-nm half-pitch
32. Cost of ownership for future lithography technologies
33. Formation mechanism of 193nm immersion defects and defect reduction strategies
34. Extreme ultraviolet resist outgassing and its effect on nearby optics
35. A year in the life of an immersion lithography alpha tool at Albany NanoTech
36. Investigation of immersion related defects using pre- and post-wet experiments
37. Segmented alignment mark optimization and signal strength enhancement for deep trench process
Catalog
Books, media, physical & digital resources
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.