Search

Your search keyword '"Rooyackers, R."' showing total 158 results

Search Constraints

Start Over You searched for: Author "Rooyackers, R." Remove constraint Author: "Rooyackers, R." Publisher ieee Remove constraint Publisher: ieee
158 results on '"Rooyackers, R."'

Search Results

2. Record performance Top-down In0.53Ga0.47As vertical nanowire FETs and vertical nanosheets

6. First demonstration of ∼3500 cm2/V-s electron mobility and sufficient BTI reliability (max Vov up to 0.6V) In0.53Ga0.47As nFET using an IL/LaSiOx/HfO2 gate stack

9. Top-down InGaAs nanowire and fin vertical FETs with record performance

10. Record mobility (μeff ∼3100 cm2/V-s) and reliability performance (Vov∼0.5V for 10yr operation) of In0.53Ga0.47As MOS devices using improved surface preparation and a novel interfacial layer

11. Beyond-Si materials and devices for more Moore and more than Moore applications

20. Perspective of tunnel-FET for future low-power technology nodes

21. A New Direction for III–V FETs for Mobile CPU Operation Including Burst-Mode: In0.35Ga0.65As Channel.

25. A new complementary hetero-junction vertical Tunnel-FET integration scheme

32. Advancing CMOS beyond the Si roadmap with Ge and III/V devices

35. Si-based tunnel field-effect transistors for low-power nano-electronics

36. Record low contact resistivity to n-type Ge for CMOS and memory applications

38. Migrating from planar to FinFET for further CMOS scaling: SOI or bulk?

39. The device architecture dilemma for CMOS technologies: Opportunities & challenges of finFET over planar MOSFET

40. Atomistic modeling of impurity ion implantation in ultra-thin-body Si devices

41. First observation of FinFET specific mismatch behavior and optimization guidelines for SRAM scaling

42. Impact of Strain on ESD Robustness of FinFET Devices

43. Full-field EUV and immersion lithography integration in 0.186μm2 FinFET 6T-SRAM cell

44. Capping-metal gate integration technology for multiple-VT CMOS in MuGFETs

45. A 10-Bit current-steering FinFET D/A converter

48. Flexible and robust capping-metal gate integration technology enabling multiple-VT CMOS in MuGFETs

49. Low-voltage 6T FinFET SRAM cell with high SNM using HfSiON/TiN gate stack, fin widths down to 10nm and 30nm gate length

Catalog

Books, media, physical & digital resources