Search

Your search keyword '"Olivier Thomas"' showing total 29 results

Search Constraints

Start Over You searched for: Author "Olivier Thomas" Remove constraint Author: "Olivier Thomas" Publisher ieee Remove constraint Publisher: ieee
29 results on '"Olivier Thomas"'

Search Results

1. Eight-wavelength Digital Inline Holography and Brightfield Imaging in a single microscope

7. Third-order based analytical investigation of nonlinear interactions between voltage source converters interconnected to a transmission grid

8. Analytical investigation of nonlinear interactions between Voltage Source Converters interconnected to a transmission grid

9. Design insights for reliable energy efficient OxRAM-based flip-flop in 28nm FD-SOI

10. Mixed-single well 8T SRAM bitcell for wide voltage range in 28nm FDSOI

11. OxRAM-based pulsed latch for non-volatile flip-flop in 28nm FDSOI

12. Joint impact of random variations and RTN on dynamic writeability in 28nm bulk and FDSOI SRAM

13. Design challenges and solutions for Non-Volatile SRAMs

14. Thermo-mechanical characterization of passive stress sensors in Si interposer

15. SRAM row decoder design for wide voltage range in 28nm UTBB-FDSOI

16. CMOS SRAM scaling limits under optimum stability constraints

17. Multiple-pulse dynamic stability and failure analysis of low-voltage 6T-SRAM bitcells in 28nm UTBB-FDSOI

18. Design challenges for nano-scale devices

19. Planar fully depleted SOI technology: The convergence of high performance and low power towards multimedia mobile applications

20. CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits

21. TCAD simulation vs. experimental results in FDSOI technology: From advanced mobility modeling to 6T-SRAM cell characteristics prediction

22. An SNM estimation and optimization model for ULP sub-45nm CMOS SRAM in the presence of variability

23. An Innovative 6T Hybrid SRAM Cell in sub-32 nm Double-Gate MOS Technology

24. Compact 6T SRAM cell with robust read/write stabilizing design in 45nm Monolithic 3D IC technology

25. Robust multi-VT 4T SRAM cell in 45nm thin BOx fully-depleted SOI technology with ground plane

26. 3D multichannels and stacked nanowires technologies for new design opportunities in nanoelectronics

27. 3D CMOS integration: Introduction of dynamic coupling and application to compact and robust 4T SRAM

28. Impact of CMOS Technology Scaling on SRAM Standby Leakage Reduction techniques

29. Silicon characterization of standby leakage reduction techniques in a 0.13μm Low Power Partially-Depleted Silicon-On-Insulator Technology

Catalog

Books, media, physical & digital resources