1,111 results on '"Input/output"'
Search Results
2. Design and Analysis of Simultaneous Wideband Input/Output Matching Technique for Ultra-Wideband Amplifier
- Author
-
To-Po Wang
- Subjects
Input/output ,Physics ,General Computer Science ,Amplifier ,020208 electrical & electronic engineering ,Bandwidth (signal processing) ,General Engineering ,Impedance matching ,020206 networking & telecommunications ,02 engineering and technology ,law.invention ,noise figure (NF) ,ultra-wideband (UWB) ,CMOS ,law ,Low-noise amplifier (LNA) ,0202 electrical engineering, electronic engineering, information engineering ,Return loss ,Electronic engineering ,General Materials Science ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,Wideband ,Resistor ,lcsh:TK1-9971 - Abstract
A simultaneous wideband input/output matching technique for ultra-wideband (UWB) low-noise amplifier (LNA) is proposed in this paper. Feedback resistors leading the gate inductors combined with inductive dividers at output ports achieve an extended bandwidth and good input/output return loss. Moreover, $Q$ -factor improved vertical solenoid inductors are used in the matching networks for high gain and low noise figure (NF). The proposed matching technique, not only enhances the bandwidth, but also achieves a high gain and a low NF for the fabricated 3.1-10.6-GHz monolithic 180-nm CMOS UWB amplifier. Operating at low supply voltage, the measured power consumption is 18.9 mW, the measured gain of the UWB LNA is 15.02 dB, and the NF is 3.1 dB. Moreover, the measured input/output reflection coefficients S11 and S22 are lower than −9.4 dB and −15.8 dB, respectively, covering the full-band UWB frequencies. Compared to previously published full-band 3.1-10.6-GHz 180-nm CMOS UWB LNAs, the proposed LNA measurements demonstrate high gain, low NF, low supply voltage, low power dissipation, and good input/output reflection coefficients.
- Published
- 2021
3. RAMSYS: Resource-Aware Asynchronous Data Transfer with Multicore SYStems.
- Author
-
Li, Tan, Ren, Yufei, Yu, Dantong, and Jin, Shudong
- Subjects
- *
REAL-time computing , *BIT rate , *DATA libraries , *SERVER farms (Computer network management) , *MULTICORE processors , *NON-uniform memory access - Abstract
High-speed data transfer is vital to data-intensive computing that often requires moving large data volumes efficiently within a local data center and among geographically dispersed facilities. Effective utilization of the abundant resources in modern multicore environments for data transfer remains a persistent challenge, particularly, for Non-Uniform Memory Access (NUMA) systems wherein the locality of data accessing is an important factor. This requires rethinking how to exploit parallel access to data and to optimize the storage and network I/Os. We address this challenge and present a novel design of asynchronous processing and resource-aware task scheduling in the context of high-throughput data replication. Our software allocates multiple sets of threads to different stages of the processing pipeline, including storage I/O and network communication, based on their capacities. Threads belonging to each stage follow an asynchronous model, and attain high performance via multiple locality-aware and peer-aware mechanisms, such as task grouping, buffer sharing, affinity control and communication protocols. Our design also integrates high performance features to enhance the scalability of data transfer in several scenarios, e.g., file-level sorting, block-level asynchrony, and thread-level pipelining. Our experiments confirm the advantages of our software under different types of workloads and dynamic environments with contention for shared resources, including a 28-160 percent increase in bandwidth for transferring large files, 1.7-66 times speed-up for small files, and up to 108 percent larger throughput for mixed workloads compared with three state of the art alternatives, GridFTP , BBCP and Aspera. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
4. Separation of Virtual Machine I/O in Cloud Systems
- Author
-
Hyokyung Bahn and Jisun Kim
- Subjects
General Computer Science ,Computer science ,02 engineering and technology ,Commit ,computer.software_genre ,buffer cache ,commit ,0202 electrical engineering, electronic engineering, information engineering ,General Materials Science ,Input/output ,File system ,Journaling ,file system ,ext4 ,General Engineering ,Virtualization ,Disk buffer ,virtualization ,020202 computer hardware & architecture ,Virtual machine ,Journaling file system ,Operating system ,020201 artificial intelligence & image processing ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,computer ,Database transaction ,Host (network) ,virtualized system ,lcsh:TK1-9971 - Abstract
Virtualization is widely used in modern computer systems ranging from personal computers to cloud servers as it provides various heterogeneous platforms at low cost. However, due to its nested software structure in host and guest machines that are difficult to harmonize, it is challenging to manage resources efficiently in virtualized systems. In this article, we anatomize the overhead of virtualization associated with file system journaling and discover the excessively frequent commits that take place in virtualized systems. This is because the host triggers commit on every write request from all guest machines. This also generates unnecessary write traffic to storage. To remedy these problems, we propose the VM-separated commit, and implement it on QEMU-KVM and Ext4. Specifically, we devise a data structure that manages modified file blocks from each guest as a separate list and split the running transaction list into two sub-transactions based on this data structure upon a commit request from a guest. Measurement studies with Filebench and IOzone benchmarks show that the proposed policy improves the I/O throughput by 19.5% on average and up to 64.2% over existing systems. It also reduces the variability in performance.
- Published
- 2020
5. FPGA Based Real Time Simulations of the Face Milling Process
- Author
-
Marek Galewski, Krzysztof J. Kaliński, and Michał Mazur
- Subjects
0209 industrial biotechnology ,General Computer Science ,Computer science ,02 engineering and technology ,computer.software_genre ,020901 industrial engineering & automation ,Software ,Gate array ,High-level synthesis ,0202 electrical engineering, electronic engineering, information engineering ,General Materials Science ,high level synthesis ,Field-programmable gate array ,computer.programming_language ,Input/output ,systems simulation ,business.industry ,Hardware description language ,General Engineering ,Field programmable gate arrays ,020202 computer hardware & architecture ,Simulation software ,Embedded system ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,business ,computer ,lcsh:TK1-9971 - Abstract
The article presents a successful implementation of the milling process simulation at the Field-Programmable Gate Array (FPGA). By using FPGA, very rigorous Real-Time (RT) simulation requirements can be met. The response time of the FPGA simulations is significantly reduced, and the time synchronization is better than in a typical RT system implemented in software. The FPGA-based approach is characterized by enormous flexibility when it comes to input and output operations that can be implemented deterministically in RT. Complex simulation software has been implemented using the High Level Synthesis technique, which is a relatively easy and fast approach for FPGA programming without using complex Hardware Description Languages. The hardware functions are based on procedures written in high-level C programming language. The mathematical descriptions of simulations, results of computer simulations, Hardware-in-the-Loop Simulation experiments, and real experiments are presented. The approach presented in this paper can be used to simulate the dynamics of various mechatronic systems.
- Published
- 2020
6. Redundancy Analysis and Elimination on Access Patterns of the Windows Applications Based on I/O Log Data
- Author
-
Jun-Ha Lee and Hyuk-Yoon Kwon
- Subjects
Input/output ,020203 distributed computing ,Focus (computing) ,redundancy elimination ,020205 medical informatics ,General Computer Science ,Windows registry ,Computer science ,General Engineering ,Windows Registry ,02 engineering and technology ,computer.software_genre ,I/O log data ,Log data ,0202 electrical engineering, electronic engineering, information engineering ,Redundancy (engineering) ,Microsoft Windows ,General Materials Science ,Data mining ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,access pattern analysis ,computer ,lcsh:TK1-9971 - Abstract
In this paper, we analyze I/O log data monitored in the Windows operating system for improving the system performance. Especially, we focus on the I/O operations to the Windows registry. As a result, we identify redundant access patterns of the Windows applications. To find all the possible redundant patterns from the large-scale log data, we propose the redundancy detection algorithm. Then, we propose the two-level redundancy elimination method to remove unnecessary redundant operations. We also present an event-driven method that guarantees that the result of redundancy elimination is equivalent to that of the original program. Through experiments, we show that the proposed redundancy elimination method improves the performance of the original program having redundant access patterns by up to 90.25% for individual access patterns; by 8.93% ~ 26.21% when the multiple programs having combined access patterns are running concurrently.
- Published
- 2020
7. Roofline-Model-Based Design Space Exploration for Dataflow Techniques of CNN Accelerators
- Author
-
Sungkyung Park, Chan Park, and Chester Park
- Subjects
General Computer Science ,Dataflow ,Design space exploration ,Computer science ,processing element (PE) ,Accelerator ,02 engineering and technology ,Parallel computing ,convolutional neural networks (CNNs) ,roofline ,Model-based design ,0202 electrical engineering, electronic engineering, information engineering ,General Materials Science ,Throughput (business) ,Loop unrolling ,Input/output ,General Engineering ,Memory bandwidth ,simulation ,020202 computer hardware & architecture ,Memory management ,Loop interchange ,020201 artificial intelligence & image processing ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,dataflow techniques ,lcsh:TK1-9971 - Abstract
To effectively compute convolutional layers, a complex design space must exist (e.g., the dataflow techniques associated with the layer parameters, loop transformation techniques, and hardware parameters). For efficient design space exploration (DSE) of various dataflow techniques, namely, the weight-stationary ( WS ), output-stationary ( OS ), row-stationary ( RS ), and no local reuse ( NLR ) techniques, the processing element (PE) structure and computational pattern of each dataflow technique are analyzed. Various performance metrics are calculated, namely, the throughput (in giga-operations per second, GOPS), computation-to-communication ratio ( CCR ), on-chip memory usage, and off-chip memory bandwidth, as closed-form expressions of the layer and hardware parameters. In addition, loop interchange and loop unrolling techniques with a double-buffer architecture are assumed. Many roofline model-based simulations are performed to explore relevant dataflow techniques for a wide variety of convolutional layers of typical neural networks. Through simulation, this paper provides insights into the trends in accelerator performance as the layer parameters change. For convolutional layers with large input and output feature map ( ifmap and ofmap ) widths and heights, the GOPS of the NLR dataflow technique tends to be higher than that of the techniques. For convolutional layers with low weight and ofmap widths and heights, the RS dataflow technique achieves optimal GOPS and on-chip memory usage. In the case of convolutional layers with small weight widths and heights, the GOPS of the WS dataflow technique tends to be high. In the case of convolutional layers with small ofmap widths and heights, the OS dataflow technique achieves optimal GOPS and on-chip memory usage.
- Published
- 2020
8. Walter: Wide I/O Scaling of Number of Memory Controllers Versus Frequency and Voltage
- Author
-
Mario Donato Marino
- Subjects
General Computer Science ,Computer science ,Automatic frequency control ,02 engineering and technology ,wide I/O ,Topology ,01 natural sciences ,Reduction (complexity) ,memory ,Bandwidth ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Bandwidth (computing) ,General Materials Science ,Frequency scaling ,controller ,Scaling ,010302 applied physics ,Input/output ,General Engineering ,Memory bandwidth ,020202 computer hardware & architecture ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,lcsh:TK1-9971 ,3DStacking ,Voltage - Abstract
Computational application demands do push the scaling of the number of cores, which themselves further increase the demand for more bandwidth. The use of larger rank widths and/or scaling the number of memory controllers (MCs) is a straightforward way to increase memory bandwidth. Connecting wide ranks and MCs via low-capacitance Through Silicon Vias (TSVs) favors high-bandwidth 3DStacking systems (e.g. Wide I/O). Given that voltage and frequency scaling (VFS) lower power utilization but the use of lower clock frequencies reduces bandwidth, this article proposes $Walter$ as a $W$ ide I/O technique that trades off sc $al$ ing of the number of memory con $t$ roll $e$ rs (MCs) versus clock $\text{f}r$ equency and voltage (VFS) to mitigate low bandwidth and improve energy-per-bit usage. Our findings show that $Walter$ ’s Wide I/O architectural benefits of using a larger number of MCs coupled with wider ranks when combined to VFS are promising: compared to the baseline for a 75% frequency/voltage reduction, MC scalability improved memory bandwidth by 2.4x and energy-per-bit reduced by 20% (most benchmarks for up to 16 MCs). $Walter$ ’s architectural replacement of ranks set at specification frequencies with ones set at lower frequencies allows temperature reduction thus likely allowing further rank stacking.
- Published
- 2020
9. Input/Output-Interlocking for Fault Mitigation in QDI Pipelines
- Author
-
Patrick Behal, Robert Najvirt, Zaheer Tabassam, and Andreas Steininger
- Subjects
Input/output ,Computer engineering ,Computer science ,Asynchronous communication ,Data integrity ,Fault tolerance ,State (computer science) ,Filter (signal processing) ,Security token ,Glitch - Abstract
In asynchronous quasi delay-insensitive (QDI) circuits, temporal masking is a serious concern because of their event-driven behavior, which makes them prone to environmental effects: Data acceptance windows, e.g. are defined by transitions (token/acknowledgement) alone, without temporal bounds, therefore a glitch occurring anytime throughout such a window cannot be distinguished from an expected, correct transition in a straightforward manner and hence threatens data integrity. Therefore, shortening that window is one proposed way in the literature to enhance temporal masking in QDI designs.We examine a variant of the Weak-Conditioned Half Buffer (WCHB) called Interlocking WCHB (which wisely shortens the transition window) because of its glitch filtering properties and a low cost implementation as compared to other variants. We propose modifications that enhance its dealing with illegal token words specifically when waiting for acknowledgment signal transitions in the so-called bubble limited operation mode. A very strict triple-check input filter with a glitch filter preventing the buffer from capturing an illegal state is used, which also enhances the deadlocking rate of the circuitry.
- Published
- 2021
10. File Operations Comparative Analysis of various Guest Virtual Machine’s on Xen Hypervisor
- Author
-
Samiksha Sharma and Anchal Pokharana
- Subjects
Input/output ,Virtual machine ,Computer science ,Visitor pattern ,Benchmark (computing) ,Operating system ,Hypervisor ,Benchmarking ,computer.software_genre ,computer ,Host (network) ,Domain (software engineering) - Abstract
Virtual machine (VM) is an isolated domain that gives off an impression of being an entire PC yet in reality just approaches a bit of the PC assets. Execution of the virtual machine running on a similar PC framework equipment relies upon the exhibition of the host working framework. In this work execution of various visitor VM's on a similar host working framework has been analyzed. Here in this detailed experimentation or comparative analysis, Ubuntu, a Linux based operating system has been utilized for host working framework and for guest working frameworks Ubuntu v14.04, CentOS v6.3 operating systems has been utilized. Execution estimation of virtual operating system has been completed in the equivalent controlled scenarios for both (Ubuntu and Centos) guest operating systems utilizing benchmark applications. In this paper Input Output file operations have been performed on various virtual machine using benchmarking tool (Sysbench) to analyze the performance of various guest (Visitor) VM and compare them.
- Published
- 2021
11. Asynchronous Serial Infrastructure Using FPIO
- Author
-
Andrew Lines
- Subjects
Input/output ,business.industry ,Computer science ,Interface (computing) ,Hardware_PERFORMANCEANDRELIABILITY ,Network topology ,Tree (data structure) ,Neuromorphic engineering ,Asynchronous communication ,Embedded system ,Chip select ,Hardware_INTEGRATEDCIRCUITS ,business ,Protocol (object-oriented programming) - Abstract
"Tour Pin Input Output" (FPIO) is a delayinsensitive asynchronous bit-serial multi-chip management protocol which surpasses "Serial Peripheral Interface" (SPI) by eliminating timing races, waiting for peripherals to acknowledge, using unidirectional fanout-1 full-swing signals, eliminating chip select wires, and scaling to rings of dozens of chips using less wiring. The message protocol over FPIO supports on-chip tree and ring topologies to connect internal interfaces such as virtualized wires, scan chains, NoC message interfaces, and circuit testers. Intel’s Loihi neuromorphic processor and related chips use this new infrastructure. We propose these protocols as an open standard for serial management, especially suited for asynchronous chips.
- Published
- 2021
12. Preliminary Study of a Terahertz TE20 Mode Input/Output Coupling Structure
- Author
-
Junzhe Deng, Jiacai Liao, Junchen Ren, Jingcong He, Wenlong He, Guoxiang Shu, and Zhiwei Chang
- Subjects
Physics ,Input/output ,Coupling ,business.industry ,Terahertz radiation ,Port (circuit theory) ,computer.software_genre ,Traveling-wave tube ,Simulation software ,law.invention ,Optics ,law ,Transmission coefficient ,business ,computer ,Waveguide - Abstract
Preliminary study of a TE20 input/output coupling structure for sheet electron beam traveling wave tubes is presented in this paper. A TE10-TE20 mode converter and a single branch waveguide coupler are used to realize the proposed design. Simulation results obtained by using the CST simulation software demonstrated that the port reflection and the transmission coefficient were respectively lower than - 15 dB and higher than - 1 dB in the frequency range of 241-298 GHz. The port isolation was lower than - 10 dB in the frequency range of 250-298 GHz.
- Published
- 2021
13. A Modified Nyquist Stability Criteria that Takes into Account Input/Output Circuit Loading Effects
- Author
-
Gordon W. Roberts
- Subjects
Input/output ,Analogue electronics ,Control theory ,Negative feedback ,Product (mathematics) ,Nyquist stability criterion ,Hardware_INTEGRATEDCIRCUITS ,Nyquist–Shannon sampling theorem ,Stability (probability) ,Electronic circuit ,Mathematics - Abstract
H. S. Black in 1928 described the principle of negative feedback using two functions denoted as A(s) and β(s). While negative feedback has many important practical benefits, circuits that utilize this principle can go unstable. In 1932 H. Nyquist described the conditions that maintain stable behavior based on the complex behavior of the product of A(s) times β(s) culminating into what is referred to as the Nyquist Stability Criterion. When applied to electronic circuits, however, there is uncertainty in identifying A(s) and β(s) due to circuit loading effects. In this paper, a theory of stability will be introduced that takes into account the influence that input and output circuit loading effects have on the operation of the circuit. An example will be used to illustrate the significance of these findings.
- Published
- 2021
14. PIGO: A Parallel Graph Input/Output Library
- Author
-
Kasimir Gabert and Ümit V. Çatalyürek
- Subjects
Input/output ,Focus (computing) ,Matrix (mathematics) ,Kernel (image processing) ,Computer engineering ,Computer science ,Simple (abstract algebra) ,End user ,Reading (computer) ,Sparse matrix - Abstract
Graph and sparse matrix systems are highly tuned, able to run complex graph analytics in fractions of seconds on billion-edge graphs. For both developers and researchers, the focus has been on computational kernels and not end-to-end runtime. Despite the significant improvements that modern hardware and operating systems have made towards input and output, these can still become application bottlenecks. Unfortunately, on high-performance shared-memory graph systems running billion-scale graphs, reading the graph from file systems easily takes over 2000× longer than running the computational kernel. This slowdown causes both a disconnect for end users and a loss of productivity for researchers and developers.We close the gap by providing a simple to use, small, header-only, and dependency-free C++11 library that brings I/O improvements to graph and matrix systems. Using our library, we improve the end-to-end performance for state-of-the-art systems significantly—in many cases by over 40×.
- Published
- 2021
15. Input-Output Admissibility Analysis of Continuous Descriptor System with Time-Varying Delay
- Author
-
Karina A. Barbosa, Carlos Rodriguez, and El Aiss Hicham
- Subjects
Input/output ,0209 industrial biotechnology ,Work (thermodynamics) ,Control and Optimization ,02 engineering and technology ,Impulse (physics) ,Linear matrix ,Set (abstract data type) ,Small-gain theorem ,020901 industrial engineering & automation ,Exponential stability ,Control and Systems Engineering ,0202 electrical engineering, electronic engineering, information engineering ,Symmetric matrix ,Applied mathematics ,020201 artificial intelligence & image processing ,Mathematics - Abstract
This letter deals with the input-output admissibility analysis of the continuous descriptor system with a time-varying delay. A two-term approximation transformation-model has been used to convert the original system into two interconnected subsystems. Based on the scaled small gain theorem and a new Lyapunov-Krasovskii functional, a delay-dependent sufficient condition has been presented to ensure that the time-varying delay descriptor system is input-output stable, regular, and impulse free. The derived conditions are given in a set of linear matrix inequalities. Finally, an example has been used to test the merit and validity of the proposed method.
- Published
- 2021
16. Input-output implementation of the Youla architecture
- Author
-
Hans Henrik Niemann
- Subjects
Input/output ,Controller parameterization ,Coprime factorization ,Adaptive control ,Youla controller architecture ,Computer Science::Systems and Control ,Control theory ,Computer science ,Key (cryptography) ,Feedback controller ,Architecture ,Controller architecture - Abstract
The well-known controller architecture based on the Youla parameterization is revisited in this paper. The key result in this paper is a reformulation of the Youla controller such that an exact implementation of the Youla parameterization part can be done using only terminals of the nominal controller. Further, the parameterization part does not use the nominal feedback controller directly.
- Published
- 2021
17. Model Predictive Control for Wake Redirection in Wind Farms: a Koopman Dynamic Mode Decomposition Approach
- Author
-
Jan-Willem van Wingerden and Nassir Cassamo
- Subjects
Input/output ,Model predictive control ,Wind power ,State-space representation ,Computer science ,business.industry ,Control theory ,Dynamic mode decomposition ,Context (language use) ,Wake ,business ,Turbine - Abstract
Wind farms are high order systems whose dynamics are governed by non linear partial differential equations with no known analytic solution, making the design and implementation of numerical optimal controllers in high fidelity fluid dynamics solvers computationally expensive and unsuitable for real time usage. Reduced order state models provide a possible route to the design and implementation of practical cooperative wind farm controllers. This work makes use of an innovative algorithm in the context of wind farm modelling - Input Output Dynamic Mode Decomposition - to find suitable reduced order models to be used for model predictive control. The contribution of the work in this article resides in deriving a reduced order model from high fidelity simulation data where wake redirection control by yaw misalignment is evaluated. A model based predictive controller is designed and tested. In the present case study it is shown that a reduced order linear state space model with 37 states can accurately reproduce the downstream turbine generator power dynamics with a fit of 88%, reconstruct the upstream turbine wake with an average normalized root mean squared error of 4% and that optimal controllers can be designed for a collective power reference tracking problem.
- Published
- 2021
18. Validation of Input/Output characteristics of Symmetrical Double Source TFET device
- Author
-
Savio Jay Sengupta, Bijoy Goswami, Subir Kumar Sarkar, Wasim Reja, and Pritam Kumar Das
- Subjects
Input/output ,Very-large-scale integration ,Materials science ,CMOS ,business.industry ,Ambipolar diffusion ,Optoelectronics ,% area reduction ,Silicon on insulator ,business ,Chip ,Communication channel - Abstract
Double Source SOI Tunnel FET (DSS- TFET) of both n and p-type has been proposed and influence of various parameters on the efficiency of the device have been analyzed rigorously and optimized using Silvaco-Atlas. The proposed DSS-TFET has been designed in such a way that by suppressing the ambipolar current, complementary performance can be achieved. The DSS-TFET has almost equivalent SS for different channel lengths. Hence, during circuit designing, 13nm n- type TFET and 5nm p-type TFET can be utilized which results in chip area reduction. As SOI architecture is used, ambipolar conduction has been improved, results in higher ION/IOFF ratio of. value 1013 and 1012 for both n and p type respectively.
- Published
- 2021
19. A Fault Resistant AES via Input-Output Differential Tables with DPA Awareness
- Author
-
Marc Stöttinger, Yajun Ha, and Yi Wang
- Subjects
Input/output ,Power analysis ,Differential fault analysis ,business.industry ,Computer science ,Embedded system ,Information leakage ,Fault coverage ,Fault injection ,business ,Fault (power engineering) ,Fault detection and isolation - Abstract
Nowadays, hardware-based AES faces more than one type of Side-Channel-Attacks (SCA), such as the Differential Power Analysis (DPA) attack and the Differential Fault Analysis (DFA) attack. However, most of the current DFA-resistant implementations of AES only focus on resisting the DFA attack but with minimal concurrent considerations on their DPA resistance capability. In this paper, we propose a fault resistant AES with DPA awareness. First, we evaluate the DPA resistance for different implementation architectures of AES before the implementation with S-Box over GF(24)2 is selected. Second, we evaluate the DPA resistance for different fault detection architectures before the concurrent fault detection method is selected. Third, we propose a novel fault resistant technique for AES using input-output differential tables over GF(24)2. We have performed tests based on Partial Guessing Entropy (PGE) to evaluate the DPA resistance for the existing DFA-resistant designs and our proposed design. Experimental results prove that our design has a slower convergence speed (around 33%) with a 100% fault coverage rate and less area than the existing countermeasure designs for fault injection. Results also show that the fault detection designs weaken their DPA resistance, which indicates the importance of co-design of DFA and DPA to achieve less power information leakage.
- Published
- 2021
20. Research on Multi-factorial Investment Decision of Distribution Network Based on Input-output Assessment and Genetic Algorithm
- Author
-
Liang Rong, Yang Bo, Wang Yutian, Liu Rui, Yang Shenquan, and Cui Can
- Subjects
Input/output ,Operations research ,Computer science ,media_common.quotation_subject ,Reliability (computer networking) ,Genetic algorithm ,Key (cryptography) ,Resource management ,Function (engineering) ,Investment (macroeconomics) ,Decision model ,media_common - Abstract
In recent years, the construction and innovation of distribution network have been accelerating, and the overall investment in distribution network has been increasing year by year. Therefore, the investment efficiency of distribution network has become a key concern. At present, the evaluation system of distribution network often focuses on the technical aspects such as planning effect, safety and reliability, while lacks the evaluation of investment efficiency. In terms of investment decision, it usually makes a simple proportional allocation according to historical data. To solve the problems of the investment decision in distribution network construction, this paper puts forward an multi-factorial investment decision model, which is guided by the investment efficiency evaluation while satisfying the demand of distribution network. Firstly, the index system of input-output assessment, scoring function and investment benefit score evaluation model of regional distribution network are proposed. Secondly, the investment decision-making model based on genetic algorithm is proposed, which coordinate the balance between economy and safety of distribution network. Finally, a regional distribution network is taken as an example to test and verify the scientific usefulness of the model. The practical application results show that the multi-factorial investment decision model can promote the development and improvement of the distribution network more reasonably and economical efficiently.
- Published
- 2021
21. ZCopy-Vhost: Replacing Data Copy With Page Remapping in Virtual Packet I/O
- Author
-
Bei Hua and Dongyang Wang
- Subjects
General Computer Science ,Computer science ,Virtual switch ,zero-copy vhost ,Cloud computing ,02 engineering and technology ,computer.software_genre ,Protocol stack ,0202 electrical engineering, electronic engineering, information engineering ,Overhead (computing) ,General Materials Science ,Page ,Input/output ,Network packet ,business.industry ,Network I/O virtualization ,General Engineering ,020206 networking & telecommunications ,Virtualization ,Virtual machine ,Operating system ,virtio/vhost ,020201 artificial intelligence & image processing ,virtual switch ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,business ,computer ,Host (network) ,lcsh:TK1-9971 - Abstract
Virtualization technology is the core technology of cloud computing. While virtualization technology offers flexibility in many ways, it also introduces additional performance overhead. For network systems, it needs to provide additional virtual switching, the virtual packet I/O, and other functions. Providing these features requires a lot of CPU resources. As cloud services grow, more and more CPU resources are being used to provide virtualization support, which means that fewer CPUs can be sold to tenants. Therefore, cloud service providers have been constantly seeking more efficient virtualization solutions for network systems. In this paper, we identify one of the main reasons for the large consumption of CPU resources in the virtual networking system - copy and propose to use memory page remapping technology to eliminate the copy. Moreover, to adapt to the zero-copy technology, we have integrated the technology with a mature virtual switch software in the host and a userspace protocol stack in the virtual machine. These components together build a more efficient network system in virtual environments. The evaluations with microbenchmarks and macrobenchmark show that our system performs much better than the state-of-the-art solutions.
- Published
- 2019
22. Data-Driven Stochastic Optimal Iterative Learning Control for Nonlinear Non-Affine Systems With Measurement Data Loss
- Author
-
Hao Liang, Debin Kong, Ronghu Chi, Yumei Sun, and Yunkai Lv
- Subjects
Input/output ,0209 industrial biotechnology ,Data-driven control ,General Computer Science ,Computer science ,Iterative learning control ,General Engineering ,nonlinear network systems ,02 engineering and technology ,Data loss ,measurement data loss ,01 natural sciences ,Nonlinear system ,020901 industrial engineering & automation ,Linearization ,Bernoulli distribution ,0103 physical sciences ,General Materials Science ,Affine transformation ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,010301 acoustics ,Algorithm ,Random variable ,lcsh:TK1-9971 ,stochastic optimal ILC - Abstract
In this paper, the measurement data loss is considered and two data-driven stochastic optimal iterative learning control (DDSOILC) methods are presented directly for nonlinear network systems. Specifically, an iterative dynamic linearization (IDL) is adopted to construct the linear incremental input output relationship of the repetitive nonlinear network system between two consecutive iterations. In the sequel, a lifted IDL is obtained by defining two super vectors of inputs and outputs over the entire finite time interval. Then, a lifted IDL-based DDSOILC scheme is proposed where the random data loss is described by a Bernoulli distribution of random variable. The results are extended by using a non-lifted IDL where the input-output relationship is described pointwisely. The learning gains of the proposed two methods are iteration-time-variant and can be iteratively estimated using real-time data. The proposed two methods do not depend on any explicit model. Moreover, the proposed non-lifted IDL-based DDSOILC can use more control information than the proposed lifted IDL-based one, and thus it can achieve a better control performance. Both theoretical analysis and simulations verify the efficiency and applicability of the two proposed methods.
- Published
- 2019
23. A New Two-Stage Approach for a Bi-Objective Facility Layout Problem Considering Input/ Output Points Under Fuzzy Environment
- Author
-
Roya Soltani, Arash Mohamadi, Mohammad Khalilzadeh, and Sadoullah Ebrahimnejad
- Subjects
Input/output ,goal programming ,Mathematical optimization ,General Computer Science ,Computational complexity theory ,Computer science ,Closeness ,General Engineering ,Particle swarm optimization ,particle swarm optimization algorithm ,Facility layout problem ,Fuzzy logic ,fuzzy sets ,Genetic algorithm ,Shortest path problem ,different preference representation structures ,genetic algorithm ,General Materials Science ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,Representation (mathematics) ,lcsh:TK1-9971 - Abstract
Facility layout problem is one of the most important problems in a huge range of industries and services organizations. Simultaneous study of some qualitative and quantitative parameters like closeness relationship between facilities, physical constraints such as input/output points and how to arrange facilities can play a key role to determine the facility layout. Considering these parameters can lead to reduce production costs, increase production capacity, and remove additional displacements. A two-stage approach is proposed to achieve these goals. In the first stage, a goal programming model is proposed to determine weights of the attributes based on the experts' opinions with different preference representation structures. Afterwards, the closeness ratings of the facilities are calculated using weights of attributes. In the second stage, an efficient layout is designed by determining facilities placement sequence, location of the next facility adjacent to the previous one, location of input/output points, and rectilinear feasible shortest path between facilities. Two meta-heuristic algorithms including particle swarm optimization and genetic algorithm are designed due to computational complexity. The objective function is to minimize the sum of products distance between facilities and closeness rating and also to minimize the dead space. A case study of an Auto Body Parts company is demonstrated to verify the efficiency of the proposed two-stage approach. Furthermore, in order to assess the performance of the proposed approach, a comparison is drawn between the proposed approach and five existing approaches in the literature to solve different problems by using the two meta-heuristic algorithms.
- Published
- 2019
24. Methodology to improve Safety Critical SoC based platform: a case study
- Author
-
Ooi Michael, Koay Eng Keong, and Loo Tung Lun
- Subjects
Input/output ,Proof of concept ,business.industry ,Computer science ,Reliability (computer networking) ,Embedded system ,Arduino ,Redundancy (engineering) ,Embedding ,System on a chip ,Certification ,business - Abstract
Machine Hazard Risk is possible and becomes dangerous if the failure affects humans. To create a fail-safe system, industry practice is either adding redundancy in the platform or embedding a safety sensing entity inside a System on Chip (SoC). Different systems require Safety Integrity Level (SIL) certification that varies across different domains. This paper describes a systematic methodology to designing SIL compliant appliances that use SoC without safety entity paired with safety micro-controller as a platform solution. Important system level considerations and learning range from Basic Input Output System (BIOS) enhancement to platform level connectivity choices to monitors are identified and failure prediction is covered in the paper. Conference participants will learn about the methodology through a proof of concept done on a Core platform and Arduino micro-controller.
- Published
- 2021
25. Research on Input-Output Index System Construction of The Power Grid Company Asset Value
- Author
-
Wang Guan-ran, Li Hao-Lan, and Cheng Jia-xu
- Subjects
Input/output ,Operations research ,Index system ,ComputerApplications_MISCELLANEOUS ,Evaluation methods ,Value (economics) ,Production (economics) ,Business ,Power grid ,Asset (economics) ,Reliability (statistics) - Abstract
On the basis of asset classification of asset group, the specific category of asset input-output value collection of asset group of the Power Grid Company is determined. This paper systematically combs the company's intangible assets value evaluation method, intangible assets method and intangible assets classification. Starting from three aspects of security, reliability and economy of power grid operation, as well as the asset status and DEA method provided by various management departments of power grid, the input-output evaluation index system of asset group of The Power Grid Company is constructed.
- Published
- 2021
26. A programmable I/O buffer supported multiple differential standard based on 28nm technology
- Author
-
Zhiping Wen, Jie Ni, Zhen Shuqi, Lei Chen, and Xuewu Li
- Subjects
Input/output ,Computer science ,Circuit design ,Process (computing) ,020206 networking & telecommunications ,020302 automobile design & engineering ,02 engineering and technology ,Signal ,Power (physics) ,0203 mechanical engineering ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Field-programmable gate array ,Electronic circuit ,Data transmission ,Voltage - Abstract
This paper introduces a programmable I/O buffer that supports multiple differential standards. It is integrated in a 28nm process FPGA to implement the communication between the FPGA core and external circuits. Under different standards, its data transmission rate can reach 1.25Gbps. In the article, the design idea of important circuit modules that work with I/O buffer, such as single-ended to differential-ended module, and bias signal generation module are also introduced. On the other hand, because all circuit designs use 1.8V devices and the supply voltage of the supported differential standard is higher than 1.8V, it also has a voltage withstand function under 2.5V power supply. After the circuit design is completed, the layout is drawn. According to the simulation results, its function is correct and the transmission rate can reach the design value.
- Published
- 2021
27. Is There a need for 3D modelling for a Power Delivery Network on Package?
- Author
-
Siddhesh Arote and Manjunath Jayasimha
- Subjects
Input/output ,Interconnection ,Noise ,Upgrade ,Motherboard ,Computer science ,0202 electrical engineering, electronic engineering, information engineering ,020206 networking & telecommunications ,02 engineering and technology ,Solver ,Decoupling (electronics) ,Reliability engineering ,Power (physics) - Abstract
Power supply noise budget is scaled for High speed I/O Interfaces like PCIE-Gen5/6. The specification for power supply noise has become tighter and even variation of few mV is considered crucial for high speed I/O interfaces. Presently a 2.5D extraction tool is used for modelling Power delivery network interconnects for Package/Mother board. With data rates increasing, 2.5D tool lacks accuracy & there is a definite need for 3D modelling for improving the quality of the output to meet the ever-growing High speed I/Os (input output). Relying on 2.5D models can lead to pessimistic decoupling solution. The work in this paper mainly focuses on accurate interconnect modelling of Package/Motherboard using a 3-D field solver tool & also provides the impact on HSIO power supply noise and summarizes the need for this methodology upgrade. Also, paper discusses on how 3D PDN models helps design resources (SOC, PKG, BRD) to be optimized only to the most sensitive areas, thereby reducing the overall PDN resource cost.
- Published
- 2020
28. Efficient Data Management in Neutron Scattering Data Reduction Workflows at ORNL
- Author
-
Steven Hahn, William F. Godoy, Jay Jay Billings, and Peter F. Peterson
- Subjects
FOS: Computer and information sciences ,Input/output ,Database ,Computer science ,business.industry ,Data management ,Experimental data ,Databases (cs.DB) ,020207 software engineering ,02 engineering and technology ,computer.file_format ,Hierarchical Data Format ,computer.software_genre ,File format ,Nexus (data format) ,Metadata ,Data access ,Computer Science - Databases ,020204 information systems ,Schema (psychology) ,0202 electrical engineering, electronic engineering, information engineering ,business ,computer ,Data reduction - Abstract
Oak Ridge National Laboratory (ORNL) experimental neutron science facilities produce 1.2\,TB a day of raw event-based data that is stored using the standard metadata-rich NeXus schema built on top of the HDF5 file format. Performance of several data reduction workflows is largely determined by the amount of time spent on the loading and processing algorithms in Mantid, an open-source data analysis framework used across several neutron sciences facilities around the world. The present work introduces new data management algorithms to address identified input output (I/O) bottlenecks on Mantid. First, we introduce an in-memory binary-tree metadata index that resemble NeXus data access patterns to provide a scalable search and extraction mechanism. Second, data encapsulation in Mantid algorithms is optimally redesigned to reduce the total compute and memory runtime footprint associated with metadata I/O reconstruction tasks. Results from this work show speed ups in wall-clock time on ORNL data reduction workflows, ranging from 11\% to 30\% depending on the complexity of the targeted instrument-specific data. Nevertheless, we highlight the need for more research to address reduction challenges as experimental data volumes increase., Comment: 7 pages, 4 figures, International Workshop on Big Data Reduction held with 2020 IEEE International Conference on Big Data
- Published
- 2020
29. Wide-Input/Output Control and Modeling for a Bidirectional Three-Phase High-Gain Converter
- Author
-
Guo Zheng, Fan Wang, and Yubin Wang
- Subjects
Input/output ,Computer science ,business.industry ,Electrical engineering ,Topology (electrical circuits) ,Inductor ,Energy storage ,law.invention ,Capacitor ,Three-phase ,Duty cycle ,law ,business ,Voltage - Abstract
A bidirectional three-phase high-gain converter has advantages of low current and voltage stresses, capacitor voltage self-stabilizing, inductor current self-sharing and high-gain abilities, while it also has disadvantages of narrow duty cycle range and narrow input/output voltage range, which makes the converter just suitable for high-gain applications but not wide input/output applications. A piecewise combined switching scheme is proposed, which consists of three switching strategies and can widen working duty cycle range and input/output voltage range of the converter without increasing switching voltage stress, thus makes the converter suitable for wide-input/output applications such as photovoltaic power generation, energy storage systems, DC motor control and so on. In order to analyse the effect of parasitic parameters on voltage ratio and facilitate closed-loop design of the converter, model of each strategies in Buck operation are studied. Stable-state analysis, voltage gain and switching voltage stress are also studied. Wide input/output voltage range and corresponding working duty cycle range, voltage gain and low switching voltage stress feature are verified by simulation and experiment.
- Published
- 2020
30. Microwave System for Input-Output Radiation of the Two-Cascade Gyro-TWT at 3-mm Wavelength
- Author
-
I. G. Gachev, Sergey V. Samsonov, and Aleksadr Bogdashov
- Subjects
Input/output ,Physics ,Waveguide (electromagnetism) ,Wavelength ,Optics ,Cascade ,business.industry ,Radiation ,business ,Signal ,Microwave ,Power (physics) - Abstract
The paper presents the results of calculations of the output microwave systems of broadband gyro-TWTs of the 3 mm wavelength range united in the common cascade. To achieve a high output power (300 kW), it is proposed to use a cascade of two gyro-TWTs, the first of which has a relatively high gain (40–60 dB), and the second has a high output power with a moderate gain (15–20 dB). The radiation output of the first stage is performed along the axis of the tube through the waveguide depressed collector. The microwave system of the second stage has a transverse radiation input-output through a single barrier window with the signal separation by their polarizations. The transformation of wave fields is carried out by waveguide converters and a system of external quasi-optical mirrors. The calculated efficiency of the output system in the frequency range 90–100 GHz exceeds 95%. The paper compares the methods and approaches used to model the microwave systems.
- Published
- 2020
31. Technology Impact on the Low Frequency Noise of Si and Si/SiGe Superlattice Input-Output FinFETs
- Author
-
Tom Schram, Naoto Horiguchi, Dimitri Linten, D. Boudier, Lars-Ake Ragnarsson, Cor Claeys, Geert Hellings, Hiroaki Arimura, Eddy Simoen, Bogdan Cretu, Harold Dekkers, Bertrand Parvais, Yu, Shaofeng, Zhu, Xiaona, Tang, Ting-Ao, Electronics and Informatics, Electricity, and Physics
- Subjects
010302 applied physics ,Input/output ,Noise power ,Materials science ,business.industry ,Infrasound ,Superlattice ,Gate dielectric ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Noise (electronics) ,Logic gate ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business - Abstract
The low-frequency noise of input-output (I/O) FinFETs with 3.5 nm and 5nm SiO 2 gate dielectric is studied for different processing conditions. For the thin dielectric a high-pressure (HP) deuterium anneal can improve the noise Power Spectral Density (PSD). There is no significant impact on n-channel devices, while a pronounced effect is observed for p-channel devices, especially for a HP anneal at 400 °C and 20 atm. Results are also presented on the use of a Si/SiGe superlattice architecture and it is shown that the same gate stack quality as for standard devices can be maintained.
- Published
- 2020
32. Taming I/O Variation on QoS-Less HPC Storage: What Can Applications Do?
- Author
-
Zhenbo Qiao, Qing Liu, Jieyang Chen, Norbert Podhorszki, and Scott Klasky
- Subjects
Input/output ,020203 distributed computing ,business.industry ,Computer science ,Distributed computing ,Quality of service ,020207 software engineering ,02 engineering and technology ,Supercomputer ,Plot (graphics) ,Bottleneck ,Modeling and simulation ,Load management ,Computer data storage ,0202 electrical engineering, electronic engineering, information engineering ,business - Abstract
As high-performance computing (HPC) is being scaled up to exascale to accommodate new modeling and simulation needs, I/O has continued to be a major bottleneck in the end-to-end scientific processes. Nevertheless, prior work in this area mostly aimed to maximize the average performance, and there has been a lack of study and solutions that can manage I/O performance variation on HPC systems. This work aims to take advantage of the storage characteristics and explore application level solutions that are interference-aware. In particular, we monitor the performance of data analytics and estimate the state of shared storage resources using discrete fourier transform (DFT). If heavy I/O interference is predicted to occur at a given timestep, data analytics can dynamically adapt to the environment by lowering the accuracy and performing partial or no augmentation from the shared storage, dictated by an augmentation-bandwidth plot. We evaluate three data analytics, XGC, GenASiS, and Jet, on Chameleon, and quantitatively demonstrate that both the average and variation of I/O performance can be vastly improved using our dynamic augmentation, with the mean and variance improved by as much as 67% and 96%, respectively, while maintaining acceptable outcome of data analysis.
- Published
- 2020
33. Parameter and State Estimation of DC-DC Converter for Control profile Enhancement with Input-Output Disturbances
- Author
-
M. Ankit, J. Hozefa, G. Revati, and S. K. Bhil
- Subjects
Input/output ,Nonlinear system ,Observer (quantum physics) ,Computer science ,Linearization ,Control theory ,Buck converter ,Open-loop controller ,State observer ,Feedback linearization - Abstract
Feedback linearization utilizes an efficient linearizing control to make the input-output nonlinear dynamics of a plant linear such that multiple linear control methods can be used to track output trajectories to the desired one. The open problems in control of feedback linearizable systems are the identification of dynamics in terms of coefficients and system states. Therefore, the input-output linearization based control with LMI based state observer is presented for a DC-DC buck converter in CCM(Continuous Conduction Mode) mode. The LMI approach for observer ensures robustness to additive and environmental system uncertainties. However, the exact knowledge of system parameters is obligatory during closed-loop system operation.Parameter estimation is performed in open loop using DREM(Dynamic Regression Extension and Mixing) technique to improve transient response and finite time parameter convergence. The feedback control law, state and parameter estimations are simulated on MATLAB/SIMULINK.
- Published
- 2020
34. HPC I/O Throughput Bottleneck Analysis with Explainable Local Models
- Author
-
Prasanna Balaprakash, Robert Ross, Michel A. Kinsy, Eliakin del Rosario, Philip Carns, Mihailo Isakov, and Sandeep Madireddy
- Subjects
Input/output ,0303 health sciences ,Computational complexity theory ,Computer science ,Group method of data handling ,Distributed computing ,Workload ,02 engineering and technology ,Supercomputer ,Bottleneck ,Domain (software engineering) ,03 medical and health sciences ,0202 electrical engineering, electronic engineering, information engineering ,020201 artificial intelligence & image processing ,Cluster analysis ,Throughput (business) ,030304 developmental biology - Abstract
With the growing complexity of high-performance computing (HPC) systems, achieving high performance can be difficult because of I/O bottlenecks. We analyze multiple years’ worth of Darshan logs from the Argonne Leadership Computing Facility’s Theta supercomputer in order to understand causes of poor I/O throughput. We present Gauge: a data-driven diagnostic tool for exploring the latent space of supercomputing job features, understanding behaviors of clusters of jobs, and interpreting I/O bottlenecks. We find groups of jobs that at first sight are highly heterogeneous but share certain behaviors, and analyze these groups instead of individual jobs, allowing us to reduce the workload of domain experts and automate I/O performance analysis. We conduct a case study where a system owner using Gauge was able to arrive at several clusters that do not conform to conventional I/O behaviors, as well as find several potential improvements, both on the application level and the system level.
- Published
- 2020
35. Improving All-to-Many Personalized Communication in Two-Phase I/O
- Author
-
Robert Latham, Alok Choudhary, Robert Ross, Ankit Agrawal, Qiao Kang, Sunwoo Lee, and Wei-keng Liao
- Subjects
Input/output ,020203 distributed computing ,Computer science ,Group method of data handling ,business.industry ,Message passing ,02 engineering and technology ,Network topology ,01 natural sciences ,Bottleneck ,Exascale computing ,Asynchronous communication ,Kernel (statistics) ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,IBM ,business ,010303 astronomy & astrophysics ,Computer network - Abstract
As modern parallel computers enter the exascale era, the communication cost for redistributing requests becomes a significant bottleneck in MPIIO routines. The communication kernel for request redistribution, which has an all-to-many personalized communication pattern for application programs with a large number of noncontiguous requests, plays an essential role in the overall performance. This paper explores the available communication kernels for two-phase I/O communication. We generalize the spread-out algorithm to adapt to the all-to-many communication pattern of two-phase I/O by reducing the communication straggler effect. Communication throttling methods that reduce communication contention for asynchronous MPI implementation are adopted to improve communication performance further. Experimental results are presented using different communication kernels running on Cray XC40 Cori and IBM AC922 Summit supercomputers with different I/O patterns. Our study shows that adjusting communication kernel algorithms for different I/O patterns can improve the end-to-end performance up to 10 times compared with default MPI-IO implementations.
- Published
- 2020
36. Characterization Research on I/O Improvements Targeting DISC and HPC Applications
- Author
-
Jean-François Méhaut, Douglas Dyllon Jeronimo de Macedo, Victor Ströele, Eduardo C. Inacio, Laércio Pioli, José Maria N. David, and Mario A. R. Dantas
- Subjects
Input/output ,Software ,business.industry ,Computer science ,020204 information systems ,Distributed computing ,Scalability ,0202 electrical engineering, electronic engineering, information engineering ,02 engineering and technology ,business ,020202 computer hardware & architecture - Abstract
Improvements in I/O architectures are becoming increasingly required nowadays. This is an essential point to complex and data intensive scalable applications. Data-Intensive Scalable Computing (DISC) and High-Performance Computing (HPC) applications frequently need to transfer data between storage resources. In the scientific and industrial fields, the storage component is a key element, because usually those applications employ a huge amount of data. Therefore, the performance of these applications commonly depends on some factors related to time spent in execution of the I/O operations. However, researchers, through their works, are proposing different approaches targeting improvements on the storage layer, thus, reducing the gap between processing and storage. Some solutions combine different hardware technologies to achieve high performance, while others develop solutions on the software layer. This paper aims to present a characterization model for classifying research works on I/O performance improvements for large scale computing facilities. Analysis over 36 different scenarios using a synthetic I/O benchmark demonstrates how the latency parameter behaves when performing different I/O operations using distinct storage technologies and approaches.
- Published
- 2020
37. Deriving Distinguishing Sequences for Input/Output Automata
- Author
-
Alexandr Kossachev, Igor Burdonov, and Nina Yevtushenko
- Subjects
Input/output ,Sequence ,Finite-state machine ,Relation (database) ,Computer science ,Existential quantification ,Order (ring theory) ,0102 computer and information sciences ,02 engineering and technology ,01 natural sciences ,Separable space ,Automaton ,010201 computation theory & mathematics ,0202 electrical engineering, electronic engineering, information engineering ,020201 artificial intelligence & image processing ,Algorithm - Abstract
Input/Output (I/O) automata are widely used when deriving high quality tests for (components of) complex discrete systems based on so called distinguishing sequences. For I/O automata, the number of distinguishability relations is much bigger than for classical deterministic Finite State Machines (FSM). In order to avoid submitting the same test sequence several number of times, i.e., avoid the "all weather conditions" assumption, the separability relation can be considered. If two Input/Output automata are separable then there exists an input sequence such that after submitting this sequence and observing produced outputs it can be uniquely concluded which automaton is under testing. In this paper, we modify the discipline of applying input sequences and discuss the derivation of separating sequences for automata with mixed states, i.e., states where transitions both under inputs and under outputs are defined, as well as with cycles labeled by outputs. We also illustrate how an adaptive separating sequence can be derived when both automata are input-enabled.
- Published
- 2020
38. Input-Output Hidden Markov Model for System Health Diagnosis Under Missing Data
- Author
-
Christophe Simon, Didier Theilliol, Philippe Weber, and Kamrul Islam Shahin
- Subjects
0301 basic medicine ,Input/output ,Sequence ,Computer science ,Missing data ,Viterbi algorithm ,computer.software_genre ,Data modeling ,Data set ,03 medical and health sciences ,symbols.namesake ,030104 developmental biology ,0302 clinical medicine ,symbols ,Data mining ,Medical diagnosis ,Hidden Markov model ,computer ,030217 neurology & neurosurgery - Abstract
Sensor data can be used to diagnose the system's health. A challenge comes when the data contain missing or invalid data. It is common that sensors misread for various reasons. So, data contain missing measurements and sensor saturation. The main contribution in this paper is to implement a method based on the Input-Output Hidden Markov Model that trains the model using the missing measurements and sensor saturation, then diagnoses the system health at given operating conditions. Usually, if a data set contains some sequences with missing elements then they can be excluded from the analysis. It cleans the data set but reduces its size. This strategy knows as list-wise or case-wise deletion is less suitable for real application cases. The proposed method includes the sequences with missing data into the analysis by generating the missing elements to complete the sequence. The maximum likelihood is applied to estimate IOHMM parameters that offer substantial improvements over list-wise deletion. A numerical application with simulated data sets illustrates the method.
- Published
- 2020
39. A 1.15-TOPS 6.57-TOPS/W DNN Processor for Multi-Scale Object Detection
- Author
-
Masaya Kabuto, Hiroshi Kawaguchi, Masakazu Taichi, Daisuke Watanabe, Reiya Kawamoto, Shintaro Izumi, and Masahiko Yoshimoto
- Subjects
Input/output ,Floating point ,Computer science ,Multiplier (economics) ,Deconvolution ,TOPS ,Algorithm ,Auxiliary memory ,Object detection - Abstract
We present a 40-nm multi-scale object detection processor with only three operations: $3\times 3$ convolution, $1\times 1$ convolution, and $4\times 4$ deconvolution. The multi-scale object detection at high accuracy is possible by virtue of the deconvolution feature. Input memory for a feature map has 8-bit width as well as a multiplier for the inputs has 8-bit precision. Partial-sum memory, however, has 16-bit width to suppress detection accuracy deterioration in a layer with 512 channels or more. By fixed-point bit precision, the external memory bandwidth and internal memory capacity are reduced. optimized parallelization in input and output channels reduces the external memory bandwidth to 0.50 GB per $1280\times 384$ image with internal memory capacity of 400 kB. The detection error is 1.9% of that using single-precision floating point. The maximum operating frequency is 500 MHz at a supply voltage of 1 V. Its peak performance is 1.15 TOPS. The maximum energy efficiency is 6.57 TOPS/W at 174 MHz and 0.6 V.
- Published
- 2020
40. Design and Simulation of Input & Output Couplers for high power Helix slow wave structure TWT
- Author
-
D Esther Jeba and G. Josemin Bala
- Subjects
Input/output ,Physics ,Waveguide (electromagnetism) ,Software ,business.industry ,Acoustics ,Standing wave ratio ,Output coupler ,Coaxial ,business ,Electrical impedance ,Power (physics) - Abstract
In this paper, the input and output couplers are designed and simulated for a frequency range of 14.9 to 15.4 GHz Ku-band over 1 kW power. The input coaxial coupler section comprises of an impedance transition with the coaxial ceramic window of 99.5% alumina to handle the maximal input power less than 1 watt. In the output coupler, coaxial to waveguide (WR-62) progression is used to handle the maximal power from the TWT. Initially, the couplers are designed theoretically. Further, the designs are optimized by commercially available 3-D electromagnetic simulation software, micro-wave studio (MWS), computer simulation technology (CST). The Eigen mode simulations for both the couplers give the voltage standing wave ratio (VSWR) value of less than 1.20.
- Published
- 2020
41. Input-output finite time stability for discrete switched networked control systems
- Author
-
Yuanqing Xia and Guangchen Zhang
- Subjects
Input/output ,0209 industrial biotechnology ,Power transmission ,Computer science ,Control (management) ,Stability (learning theory) ,Class (philosophy) ,02 engineering and technology ,Matrix (mathematics) ,020901 industrial engineering & automation ,Control theory ,Control system ,0202 electrical engineering, electronic engineering, information engineering ,020201 artificial intelligence & image processing ,Finite time - Abstract
Based on practical problems, such as power transmission and internet of things, this paper establishes a class of discrete switched networked control model. For the model, we propose input-output finite-time stability (I-O FTS) definition. Furthermore, we formulate the corresponding stability theorem, and then, transform this theorem into conditions with matrix constraints. To conclusion this paper, we use these conclusions to solve I-O FTS problem for a kind of power transmission systems.
- Published
- 2020
42. Towards Aggregation Based I/O Optimization for Scaling Bioinformatics Applications
- Author
-
Quentin Jensen, Max Ismailov, Filip Jagodzinski, Tanzima Islam, Jack Stratton, and Michael Albert
- Subjects
Input/output ,0303 health sciences ,Scale (ratio) ,Computer science ,business.industry ,030302 biochemistry & molecular biology ,Big data ,Supercomputer ,Bioinformatics ,Pipeline (software) ,03 medical and health sciences ,Software ,Bandwidth (computing) ,business ,030304 developmental biology - Abstract
Bioinformatics software often integrates multiple off-the-shelf programs into a single compute pipeline. Each stand-alone program generates output, that is frequently saved into a plain-text file, which is then processed as input by the program that is responsible for the next stage of the computation. Modern advances in genome sequencing and protein structure resolution methods have yielded large amounts of data, that when processed by bioinformatics compute pipelines, results in vast numbers of file reads and writes. Since computation capabilities of large-scale distributed systems grow much faster than their I/O bandwidth, processing such big data using these compute pipelines will not scale as the amount of data grows. For this work, we motivate and demonstrate a dynamic interception-based I/O analysis tool to assess the file read and write characteristics of a protein mutation generation pipeline. We discuss how our analysis tool can be further extended to apply compression and in-site analysis and has the potential to scale I/O-intensive bioinformatics applications on high performance computing (HPC) systems.
- Published
- 2020
43. IONMP – An open standard plug and play protocol for IOT entities
- Author
-
Raghu Venkataramana
- Subjects
Input/output ,business.industry ,Open standard ,Plug and play ,Event (computing) ,Need to know ,Computer science ,Identity (object-oriented programming) ,Internet of Things ,business ,Protocol (object-oriented programming) ,Computer network - Abstract
IONMP-[4] Stands for Input Output Nodes Messaging Protocol. It is a plug and play - [20] protocol that allows sensors and actuators to register with an infrastructure, and advertise their identity / capabilities. It also provides a standard way for sensors to publish their readings and actuators to be controlled by the infrastructure. Thanks to the plug and play protocol, the participants of the system do not need to know about the capabilities of other entities at deploy time. This protocol allows entities to query about the capabilities of other participants at run time to define event action relationships.
- Published
- 2020
44. Dimensionality Reduction of Massive I/O Log Data Flow in Power System
- Author
-
Zhang Bo, Hongfa Li, Tao Zhang, Shao Zhipeng, Xi Zesheng, and Yuan Yuan Ma
- Subjects
Input/output ,Data flow diagram ,Electric power system ,Flow (mathematics) ,Dimension (vector space) ,Computer science ,Dimensionality reduction ,Log data ,Key (cryptography) ,Algorithm ,Time complexity - Abstract
Every day, the power system receives massive I/O logs. The amount of data in these logs is so large that it takes huge computational resources to analyze. Therefore, it is necessary to reduce the size of the massive I/O logs and only analyze the key log data, thereby reducing the workload of invalid analysis. This paper takes the I/O log of the substation as the research object, and studies the dimension reduction method of the massive I/O data flow log, which reduces the computational load brought by the high-dimensional I/O data flow log data and reduces the massive I/O data flow log. This paper proposes a method of secondary dimensionality reduction. Firstly, the high dimensional I/O log data stream is classified, so that the data is transformed from high-dimensional to low-dimensional. Then, the dimension is reduced again in each category, so that the most simplified massive I/O logs are achieved. Through theoretical analysis, we can come to the conclusion that the computational time complexity of the data after dimension reduction is reduced by more than 80%.
- Published
- 2020
45. Input/output-to-state stability of nonlinear systems with average-delay impulses
- Author
-
Bangxin Jiang and Jianquan Lu
- Subjects
Input/output ,0209 industrial biotechnology ,Nonlinear system ,020901 industrial engineering & automation ,Control theory ,0202 electrical engineering, electronic engineering, information engineering ,020201 artificial intelligence & image processing ,02 engineering and technology ,Interval (mathematics) ,State (functional analysis) ,Stability (probability) ,Mathematics - Abstract
This paper studies the input/output-to-state stability (IOSS) and integral IOSS (iIOSS) of nonlinear systems with delayed impulses. In order to address flexible delays in impulses, the method of average impulsive delay (AID) is used to describe such impulses, and it is said to be the average-delay impulses. Further, by using the method of average impulsive interval (AII), we propose some criteria for IOSS and iIOSS of the system with average-delay impulses. To be specific, a uniform relationship is established among the AII and AID constants, impulses, and the decay rate of the continuous dynamics such that IOSS and iIOSS of the system with average-delay impulses are guaranteed, respectively. Some illustrative examples are presented to show the validity of the obtained results.
- Published
- 2020
46. Timing-Accurate General-Purpose I/O for Multi- and Many-Core Systems: Scheduling and Hardware Support
- Author
-
Wanli Chang, Zhe Jiang, Ibrahim Habli, Xiaotian Dai, Shuai Zhao, and Iain Bate
- Subjects
Input/output ,business.industry ,Computer science ,020207 software engineering ,02 engineering and technology ,020202 computer hardware & architecture ,Scheduling (computing) ,Many core ,General purpose ,Bounded function ,0202 electrical engineering, electronic engineering, information engineering ,Latency (engineering) ,business ,Computer hardware - Abstract
General-purpose I/O widely exists on multi- and many-core systems. For real-time applications, I/O operations are often required to be timing-predictable, i.e., bounded in the worst case, and timing-accurate, i.e., occur at (or near) an exact desired time instant. Unfortunately, both timing requirements of I/O operations are hard to achieve from the system level, especially for many-core architectures, due to various latency and contention factors presented in the path of instigating an I/O request. This paper considers a dedicated I/O co-processing unit, and proposes two scheduling methods, with the necessary hardware support implemented. It is the first work that guarantees timing predictability and maximises timing accuracy of I/O tasks in the multi-and many-core systems.
- Published
- 2020
47. Simultaneous Providing of Stability Margins Under Parametric Uncertainty and at a Plant Input/Output
- Author
-
D. V. Shatov and V. N. Chestnov
- Subjects
Input/output ,Multivariable calculus ,020101 civil engineering ,02 engineering and technology ,Linear matrix ,Toolbox ,0201 civil engineering ,020303 mechanical engineering & transports ,0203 mechanical engineering ,Stability margin ,Control theory ,Robust control ,Problem solution ,Parametric statistics ,Mathematics - Abstract
Robust stabilization problem for a linear multivariable system with parametric uncertainty is considered. In addition it is required to provide stability margins at the physical input/output of a control plant. Solution of the problem is based on a H ∞ optimization formulated in the special way. Numerical solution of such a problem uses linear matrix inequalities (LMI) technique developed in the well-known MATLAB-package Robust Control Toolbox. Illustrative example of the considered problem solution is presented.
- Published
- 2020
48. Input-output Sector Evaluation from the Perspective of Complex Network
- Author
-
Xiaoyu Chang, Feng Qing, Long Fan, and Shuying Zhang
- Subjects
Input/output ,Computer science ,Rank (computer programming) ,Sorting ,Key (cryptography) ,Table (database) ,Position (finance) ,Complex network ,Investment (macroeconomics) ,Industrial organization - Abstract
The input-output table reflects the interaction and dependence between industrial sectors, and the flow of products reflects the influence of each sector in the national economy. In this paper, the weighted PageRank algorithm in the field of complex networks is used to rank the importance of each sector in the input-output table of China's 42 × 42 in 2017. The sorting results are explained based on the principle of the algorithm, which shows the effectiveness of the algorithm in identifying key departments. Among them, due to the attention and investment of the state, the three sectors including Architecture, Public management and social security, and Transportation equipment, have shown significant advantages, so they have achieved a higher position than other sectors.
- Published
- 2020
49. Study on Input-Output Efficiency of Private Express Companies Based on DEA-Malmquist Index Model
- Author
-
Yingling Zhou, Yongjie Wang, and Mingzhe Yang
- Subjects
Input/output ,Structure (mathematical logic) ,Index (economics) ,Computer science ,Scale (social sciences) ,Econometrics ,Production (economics) ,Investment (macroeconomics) ,Productivity ,Malmquist index - Abstract
This paper takes the five private express listed companies in China as the research object, adopts the principal component analysis method and establishes the DEA-Malmquist index model to establish a comprehensive input-output index system, and measures the input-output efficiency of these five companies from 2017 to 2019. The results show that in the past three years, the input-output efficiency of these five companies have grown rapidly. However, there are problems of weak technical level, inconsistent production scale and R & D investment level. Finally, put forward relevant suggestions to help express companies optimize their structure and improve logistics efficiency.
- Published
- 2020
50. A 146.52 TOPS/W Deep-Neural-Network Learning Processor with Stochastic Coarse-Fine Pruning and Adaptive Input/Output/Weight Skipping
- Author
-
Hoi-Jun Yoo, Sangyeob Kim, Jinmook Lee, Sanghoon Kang, and Juhyoung Lee
- Subjects
Input/output ,Memory management ,Computer science ,Computation ,System on a chip ,Pruning (decision trees) ,Throughput (business) ,Algorithm ,Electronic mail ,Efficient energy use - Abstract
An energy efficient Deep-Neural-Network (DNN) learning processor is proposed for on-chip learning and iterative weight pruning (WP). This work has three key features: 1) stochastic coarse-fine pruning reduced computation workload by 99.7% compared with previous WP algorithm while maintaining high weight sparsity, 2) adaptive input/output/weight skipping (AIOWS) achieved 30.1× higher throughput than previous DNN learning processor [1] for not only the inference but also learning, 3) weight memory shared pruning unit removed on-chip weight memory access for WP. As a result, this work shows 146.52 TOPS/W energy efficiency, which is 5.79× higher than the state-of-the-art [1].
- Published
- 2020
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.