68 results on '"A G Delgado"'
Search Results
2. Development of a Communication Platform through Embedded Systems for Telecontrol of Dynamic Systems
- Author
-
G. Delgado Reyes, M. A. Hernandez Perez, E. Montes Carmona, P. Garcia Ramirez, G. Hermida-Saba, and J. A. Salgado-Fernandez
- Published
- 2022
- Full Text
- View/download PDF
3. Analysis and implementation of a car-type mobile robot for semi-planned trajectory tracking using hybrid control
- Author
-
M. A. Hernandez-Perez, K. Perez-Daniel, G. Delgado-Reyes, P. Garcia Ramirez, and L. Morales-Layja
- Published
- 2022
- Full Text
- View/download PDF
4. Development of a Communication Platform through Embedded Systems for Telecontrol of Dynamic Systems
- Author
-
Reyes, G. Delgado, primary, Perez, M. A. Hernandez, additional, Carmona, E. Montes, additional, Ramirez, P. Garcia, additional, Hermida-Saba, G., additional, and Salgado-Fernandez, J. A., additional
- Published
- 2022
- Full Text
- View/download PDF
5. ACHS Optimizations on 3D Interconnect Arrangements
- Author
-
Daniel Iparraguirre and Jose G. Delgado-Frias
- Published
- 2022
- Full Text
- View/download PDF
6. A Crosstalk-Harnessed Signaling Enhancement that Eliminates Common-Mode Encoding
- Author
-
Daniel Iparraguirre, José G. Delgado-Frias, and Howard L. Heck
- Subjects
Crosstalk (biology) ,Matrix (mathematics) ,Computer science ,Encoding (memory) ,MathematicsofComputing_NUMERICALANALYSIS ,Computer Science::Networking and Internet Architecture ,Overhead (computing) ,Routing (electronic design automation) ,Topology ,Eigenvalues and eigenvectors ,Decoding methods ,Hadamard matrix - Abstract
This paper presents an encoding variation on the Crosstalk-Harnessed Signaling (CHS) technique, aimed towards eliminating the common-mode eigenvector included in the Hadamard matrix for CHS encoding/decoding. This is accomplished by either removing the eigenvector or making it differential by concatenating matrices in a diagonal fashion, or by adding columns to the matrix; this results in a non-square matrix that delivers a larger number of signals to be routed in the interface. Simulation results show the signaling/routing overhead translates in a significantly higher performance for high-speed parallel interfaces with very high routing integration levels.
- Published
- 2021
- Full Text
- View/download PDF
7. Performance Analysis of the Bias Tee Circuit in Visible Light Communications
- Author
-
D. Iturralde, A. Cabrera, I. Cordero, A. Abad, and G. Delgado
- Subjects
Frequency response ,Computer science ,business.industry ,Electrical engineering ,Visible light communication ,Hardware_PERFORMANCEANDRELIABILITY ,Inductor ,law.invention ,Bias tee ,Capacitor ,Hardware_GENERAL ,law ,Hardware_INTEGRATEDCIRCUITS ,business ,Light-emitting diode - Abstract
This article analyzes the performance of the bias tee circuit in visible light communications. The response of a simple bias tee circuit is studied by modifying the inductor and capacitor values, and different LED colors. The results show the best capacitor and inductor commercial values that should be placed on the bias tee circuit in order to obtain the best frequency response from LEDs of different colors. All the analysis and results explained on this paper are entirely theoretical.
- Published
- 2020
- Full Text
- View/download PDF
8. Firmware functional validation using a Colored Petri Net model
- Author
-
José G. Delgado-Frias, Rongyang Liu, Rahul Khanna, and Doug Boyce
- Subjects
Source code ,business.industry ,Computer science ,Firmware ,media_common.quotation_subject ,System testing ,020207 software engineering ,02 engineering and technology ,USB ,computer.software_genre ,020202 computer hardware & architecture ,law.invention ,Unified Extensible Firmware Interface ,Workflow ,Debugging ,law ,Embedded system ,Microcode ,0202 electrical engineering, electronic engineering, information engineering ,Operating system ,business ,computer ,media_common - Abstract
The growing architectural complexity of Unified Extensible Firmware Interface (UEFI) requires a functional validation during system testing which in turn is becoming more complex and time-consuming. Unfortunately, there are few available solutions specifically designed to address this issue. We have proposed a novel technique which can automatically generate Colored Petri Net (CPN) from the UEFI firmware source code and use CPN simulation to keep track of the UEFI execution step-by-step at run-time. The simulation can indicate where the execution departs from a normal path, which in turn helps validation engineers to quickly deduct the root cause of the problem. The implemented validation tool can be incorporated into the existing validation workflow and requires no additional hardware equipment. A case study on the functional validation of UEFI USB Bus driver of a commercial embedded platform is used to demonstrate the proposed validation technique.
- Published
- 2017
- Full Text
- View/download PDF
9. Detection of skin cancer 'Melanoma' through computer vision
- Author
-
F. Munoz, G. Delgado., Wilson F. Cueva, and G. Vasquez
- Subjects
Engineering ,Artificial neural network ,business.industry ,Melanoma ,Skin cancer melanoma ,Early detection ,Context (language use) ,Image processing ,medicine.disease ,medicine ,Computer vision ,Artificial intelligence ,Skin cancer ,business - Abstract
In the last decades, skin cancer increased its incidence becoming a public health problem. Technological advances have allowed the development of applications that help the early detection of melanoma. In this context, an image processing was developed to obtain Asymmetry, Border, Color, and Diameter (ABCD of melanoma). Using neural networks to perform a classification of the different kinds of moles. As a result, this algorithm developed after an analysis of 200 images was obtained a performance of 97.51%.
- Published
- 2017
- Full Text
- View/download PDF
10. An implemented, initialization algorithm for many-dimension, Monte Carlo circuit simulations using Spice
- Author
-
José G. Delgado-Frias and Michael A. Turi
- Subjects
Manufacturing process ,Computer science ,Spice ,Monte Carlo method ,Transistor ,Initialization ,Hardware_PERFORMANCEANDRELIABILITY ,Data structure ,law.invention ,Computer Science::Hardware Architecture ,Computer Science::Emerging Technologies ,Dimension (vector space) ,law ,Hardware_INTEGRATEDCIRCUITS ,Kinetic Monte Carlo ,Algorithm ,Simulation ,Hardware_LOGICDESIGN - Abstract
We present an algorithm for generating Spice netlists with varied parameters/variables in order to support Monte Carlo simulations. A number of data structures are required to randomly or pseudo-randomly vary transistor parameters or simulation parameters/variables. This algorithm ensures that Spice sub-circuits and transistor fingers are expanded so that every transistor and transistor finger of the circuit is independently varied. Some versions of Spice do not have built-in support of Monte Carlo simulations. Therefore, this algorithm can enable engineers operating these Spice versions to generate netlists for executing Monte Carlo simulations. This is important since Monte Carlo simulations are commonly used to test the performance or operation of a circuit affected by parameter variations caused during the manufacturing process.
- Published
- 2017
- Full Text
- View/download PDF
11. A real-time UEFI functional validation tool with behavior Colored Petri Net model
- Author
-
José G. Delgado-Frias, Doug Boyce, Rahul Khanna, and Rongyang Liu
- Subjects
Correctness ,Firmware ,Computer science ,business.industry ,media_common.quotation_subject ,020207 software engineering ,02 engineering and technology ,USB ,computer.software_genre ,law.invention ,Unified Extensible Firmware Interface ,Workflow ,Debugging ,Unified Modeling Language ,law ,Microcode ,Embedded system ,0202 electrical engineering, electronic engineering, information engineering ,Operating system ,business ,computer ,media_common ,computer.programming_language - Abstract
As Unified Extensible Firmware Interface (UEFI) architectural complexity increases to accommodate a growing number of requirements, UEFI functional validation is becoming a large complex and time-consuming task. We have implemented an integrated validation tool that uses Colored Petri Net (CPN) to model the UEFI execution behavior in real-time. This tool extends the existing validation workflow with a run-time CPN model simulation that can indicate the correctness of the firmware execution and potentially pinpoints the location of error. This in turn could help reduce debugging time. Functional validation of UEFI USB Bus driver on MinnowBoard MAX is used as an example to demonstrate the effectiveness of the proposed approach.
- Published
- 2016
- Full Text
- View/download PDF
12. UEFI USB bus initialization verification using Colored Petri Net
- Author
-
Rahul Khanna, José G. Delgado-Frias, Doug Boyce, and Rongyang Liu
- Subjects
business.industry ,Computer science ,Firmware ,media_common.quotation_subject ,Concurrency ,Initialization ,USB ,Petri net ,computer.software_genre ,law.invention ,Debugging ,law ,Embedded system ,Synchronization (computer science) ,Operating system ,business ,computer ,media_common ,Abstraction (linguistics) - Abstract
In this paper we present a novel scheme to perform firmware verification using a graphical Colored Petri Net (CPN). CPNs provide modeling features for concurrency, communication and synchronization as well as hierarchical abstraction and timing analysis. These features are used to perform firmware validation of the UEFI USB bus initialization. The CPN representation provides better visualization support to streamline the validation process. A Beagle Board is used to show the potential of the proposed firmware validation scheme.
- Published
- 2015
- Full Text
- View/download PDF
13. Near-threshold CNTFET SRAM cell design with removed metallic CNT tolerance
- Author
-
Zhe Zhang, José G. Delgado-Frias, and Michael A. Turi
- Subjects
Boosting (machine learning) ,Materials science ,business.industry ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Power (physics) ,Carbon nanotube field-effect transistor ,Reduction (complexity) ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Static random-access memory ,business ,Energy (signal processing) ,Hardware_LOGICDESIGN ,Voltage - Abstract
We report a study of power supply reduction to near-threshold for an 8-transistor CNTFET SRAM cell. Voltage at near-threshold has an impact on delays, energy, energy-delay product, leakage current, and static noise margin. In addition, we have incorporated a removed metallic CNT approach to deal with non-semiconductor CNTs. In this study we investigate how to enhance SRAM performance by means of two techniques: Gated Power Supply and Word-line Boosting. Using the gated power supply technique, power saving is over 5X, while the average delay is increased by 3.5X as compared to 0.9V Vdd. On the other hand, word-line boosting technique (where Read and write word lines are boosted with additional 100mV) helps to improve write and read delays that are faster by 3.8X and 1.7X, respectively at Vdd=0.4V. Lowest Energy delay product (EDP) for gated power supply and word-line boosting is at 0.5V and 0.4V, respectively. EDP compared to nominal Vdd of 0.9V is lowered by 38% and 56.9% respectively for the mentioned techniques.
- Published
- 2015
- Full Text
- View/download PDF
14. An evaluation of 6T and 8T FinFET SRAM cell leakage currents
- Author
-
José G. Delgado-Frias and Michael A. Turi
- Subjects
Computer science ,business.industry ,Sram cell ,Electrical engineering ,business ,Leakage (electronics) - Published
- 2014
- Full Text
- View/download PDF
15. Welcome to MWSCAS 2014
- Author
-
Randall L. Geiger, José G. Delgado-Frias, Edgar Sanchez-Sinencio, and Jose Silva-Martinez
- Published
- 2014
- Full Text
- View/download PDF
16. Near-threshold CNTFET SRAM cell design with gated cell power supply
- Author
-
José G. Delgado-Frias and Zhe Zhang
- Subjects
Engineering ,Switched-mode power supply ,business.industry ,Transistor ,Electrical engineering ,Integrated circuit design ,Carbon nanotube field-effect transistor ,Power (physics) ,law.invention ,Noise margin ,law ,Low-power electronics ,Electronic engineering ,business ,Voltage - Abstract
In this paper we report an in-depth study of power supply reduction towards near-threshold for an 8-transistor CNTFET SRAM cell. Near-threshold voltage provides savings in power consumption, but has negative impact on delays, noise margin and yield. We have incorporated a removed metallic CNT approach to deal with non-semiconductor CNTs. Monte Carlo simulations have shown that with Vdd down to 0.5V, 0.72% of the cells are non-functional after removing the metallic CNTs. The power saving is over 5X, while the average delay is increased by 3.5X as compared to 0.9V Vdd. To further improve yield and performance, the gated cell power supply technique is applied which weakens the pull-up transistors during write and effectively eliminates all the write failures at near-threshold voltage level. At Vdd=0.5V, the cell with gated power supply improves write performance and write EDP by 1.5X and 2.9X compared to the non-gated cell. It also saves 70% total energy and achieves 38% lower EDP than a cell at nominal Vdd (0.9V).
- Published
- 2013
- Full Text
- View/download PDF
17. Management of large-scale wireless sensor networks utilizing multi-parent recursive area hierarchies
- Author
-
José G. Delgado-Frias and Johnathan Vee Cree
- Subjects
Key distribution in wireless sensor networks ,Engineering ,business.industry ,Sensor node ,Scalability ,Redundancy (engineering) ,business ,Cluster analysis ,Wireless sensor network ,Maintenance engineering ,Computer network ,Flooding (computer networking) - Abstract
Autonomously configuring and self-healing a large-scale wireless sensor network requires a light-weight maintenance protocol that is scalable. Further, in a battery powered wireless sensor network duty-cycling a node's radio can reduce the power consumption of a device and extend the lifetime of a network. With duty-cycled nodes the power consumption of a node's radio depends on the amount of communication it must perform and by reducing the communication the power consumption can also be reduced. Multi-parent hierarchies can be used to reduce the communication cost when constructing a recursive area clustering hierarchy when compared to single-parent solutions that utilize inefficient communication methods such as flooding and information propagation via single-hop broadcasts. The multi-parent hierarchies remain scalable and provide a level of redundancy for the hierarchy.
- Published
- 2013
- Full Text
- View/download PDF
18. CNTFET 8T SRAM cell performance with near-threshold power supply scaling
- Author
-
Zhe Zhang and José G. Delgado-Frias
- Subjects
Semiconductor ,Materials science ,Open-circuit voltage ,business.industry ,Electrical engineering ,Static random-access memory ,business ,Scaling ,Energy (signal processing) ,Carbon nanotube field-effect transistor ,Voltage ,Power (physics) - Abstract
In this study, we present a Carbon Nanotube (CNT) FET based 8T SRAM cell and its performance in near threshold voltage region. Metallic CNTs (M-CNTs) grow alongside semiconductor CNTs in current synthesis process, but they can be removed using novel techniques. This in turn creates open circuit and degrades the performance and functionality of SRAM cells. In this paper we apply a removed metallic CNT tolerant approach. The near threshold performance of the 8T SRAM cells with the tolerant approach is simulated and optimized to obtain best performance under the supply voltage from 0.4V to 0.9V. An evaluation of energy delay product and SNM shows a favorable tradeoff for the 0.6V power supply. The energy savings for cells with 0.6V power supply are 56.5% and 10.0% for average and worst case, respectively, compared with 0.9V; on the other hand, it has about 58% longer max delay and 25.8% lower static noise margin. The average and worst case values of EDP for 0.6V is 34.0% and 27.8% lower than that of 0.9V, with only 0.09% more invalid cell.
- Published
- 2013
- Full Text
- View/download PDF
19. Automatic ship hull inspection using fuzzy logic
- Author
-
C. William, M. David, C. Juan, G. Delgado, Delgado, D. Vladimir, and A. George
- Subjects
Computer science ,business.industry ,Visibility (geometry) ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Video camera ,Iterative reconstruction ,Sonar ,Fuzzy logic ,law.invention ,law ,Hull ,Computer vision ,Motion planning ,Artificial intelligence ,Image sensor ,business - Abstract
This article presents the methodology to reconstruct images of ship hulls in turbid waters from an information gathered to a system composed of video camera, laser line-point and sonar scanning, which were incorporated into an underwater vehicle that has a navigation control. The acquired data is processed by diffuse algorithms that have demonstrated low computational complexity and high efficiency in image reconstruction. We present the results of image reconstruction of ship hulls in low visibility conditions.
- Published
- 2012
- Full Text
- View/download PDF
20. Welcome to the 55th IEEE international midwest symposium on circuits and systems (MWSCAS 2012)
- Author
-
Rogelio Palomera-Garcia, Jabulani Nyathi, and Jose G. Delgado-Frias
- Subjects
Engineering ,Enthusiasm ,ComputingMilieux_THECOMPUTINGPROFESSION ,Emerging technologies ,Systems research ,business.industry ,media_common.quotation_subject ,Engineering ethics ,business ,media_common - Abstract
On behalf of the Organizing Committee, it is our pleasure to welcome you to Boise, Idaho, USA, and the 2012 IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2012). The Midwest Symposium is the longest-running symposium sponsored by the IEEE Circuits and Systems Society. Throughout its history, it has provided a forum for researchers and educators to share their enthusiasm and results in the Circuits and Systems area. This year's Symposium focuses on the latest trends in circuits and systems research and the increasing impetus towards multi-disciplinary research. The Symposium features sessions on trends in developing nanoscale devices as well as in new technologies for circuits and systems.
- Published
- 2012
- Full Text
- View/download PDF
21. CNTFET SRAM cell with tolerance to removed metallic CNTs
- Author
-
José G. Delgado-Frias and Zhe Zhang
- Subjects
Materials science ,business.industry ,Sram cell ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Memory array ,Uncorrelated ,Carbon nanotube field-effect transistor ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Static random-access memory ,business ,Short circuit ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
A metallic CNT renders a short circuit between drain and source in a CNTFET. Technologies capable of removing metallic CNTs create open circuits which degrades SRAM cell performance and functionality. In this paper we present a design approach to tolerate removed metallic CNT in CNTFET SRAM. –x00D7; CNTs to form a CNTFET. An extremely high probability of having a functional memory array can be obtained with a modest semiconducting CNT probability (P semi ) of 90% and a 1×4 uncorrelated CNT array. Three optimization schemes are also proposed to minimize the impact of metallic CNT removal.
- Published
- 2012
- Full Text
- View/download PDF
22. FinFET 3T and 3T1D dynamic RAM cells
- Author
-
José G. Delgado-Frias, Colby M. Gerik, and Michael A. Turi
- Subjects
Dynamic random-access memory ,Hardware_MEMORYSTRUCTURES ,Computer science ,business.industry ,Write current ,Electrical engineering ,Capacitance ,CAS latency ,law.invention ,CMOS ,law ,Logic gate ,MOSFET ,Electronic engineering ,business ,Dram - Abstract
In this study we present 3T and 3T1D DRAM cells designed using FinFET technology. Overall, the 3T DRAM cell has a 43.6% faster write speed than the 3T1D cell and uses less dynamic current (30.4% less write current and 14.6% less read current). The FinFET 3T1D DRAM cell offers a 16.7% faster read speed and 48.6% less read leakage current than the 3T1D cell. The 3T DRAM cell offers less variation in delays, up to 37% less than the 3T1D cell for write delay, due to parameter corner simulations. Overall for a system, the 3T FinFET DRAM cell is more promising due to its low dynamic current and significantly shorter write speed which leads to a smaller maximum delay.
- Published
- 2012
- Full Text
- View/download PDF
23. A superscalar processor for a medium-grain reconfigurable hardware
- Author
-
José G. Delgado-Frias and Jason Van Dyken
- Subjects
Speedup ,Computer science ,business.industry ,Embedded system ,Superscalar ,Path (graph theory) ,Re-order buffer ,Parallel computing ,Modular design ,business ,Reconfigurable computing ,Reservation station - Abstract
In this paper a novel modular superscalar execution core is presented for a medium grain reconfigurable hardware. The processor can be configured for varying path widths, reservation station depths, and reorder buffer sizes with minimal redesign effort. An analysis comparing the superscalar core with a five-stage execution core shows that a speedup of 2.073 can easily be achieved while increasing area by only 29%.
- Published
- 2012
- Full Text
- View/download PDF
24. A performance-power evaluation of FinFET flip-flops under process variations
- Author
-
José G. Delgado-Frias and Philip M. Munson
- Subjects
Engineering ,business.industry ,Pipeline (computing) ,Monte Carlo method ,Spice ,Process (computing) ,Hardware_PERFORMANCEANDRELIABILITY ,FLOPS ,Power (physics) ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,business ,Critical path method ,Hardware_LOGICDESIGN - Abstract
In this paper we present a performance-power study of three flip-flops using FinFET technology. First we tested the original designs with Monte Carlo variations, and then biased the back gate of the FinFETs. We report the following flip-flop delays: setup, clock to Q, and hold times. Setup and clock to Q times (called register delay) are in the pipeline stage critical path. Our study shows that the Low Delay (LDFF) flip-flop has the shortest register delay of 5.7ps. The flip-flop with the lowest power consumption is LPFF with 17.4 µW. Our simulations were performed using the University of Florida UFDG: Double-Gate MOSFET Model through the interface of Spice3f5 and Ngspice (ngspice3.ufdg-3.7) and a 32nm technology.
- Published
- 2011
- Full Text
- View/download PDF
25. Low power and metallic CNT tolerant CNTFET SRAM design
- Author
-
José G. Delgado-Frias and Zhe Zhang
- Subjects
Materials science ,business.industry ,Spice ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,Carbon nanotube field-effect transistor ,Noise margin ,CMOS ,Low-power electronics ,Dynamic demand ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Static random-access memory ,business ,Hardware_LOGICDESIGN ,Voltage - Abstract
A study of an eight-transistor (8-T) SRAM cell and its implementation in carbon nanotube FET (CNTFET) technology is presented. CNTFETs have shown great potential as post-silicon CMOS technology due to their superior transport properties, improved current density and excellent robustness to process, voltage and temperature variations. HSPICE simulations demonstrate great advantages for this cell design over the traditional 6-T structure in terms of static power, dynamic power and noise margin. In current synthesis processes metallic CNTs are grown along with semiconductor CNTs, a metallic tolerant scheme is used to overcome the presence of metallic CNT. The reliability of a large-sized memory is also improved by having memory modules with spare columns.
- Published
- 2011
- Full Text
- View/download PDF
26. A medium-grain reconfigurable processor organization
- Author
-
José G. Delgado-Frias and Jason Van Dyken
- Subjects
Espresso ,Computer science ,business.industry ,Embedded system ,Spec# ,Reconfigurable hardware architecture ,FpgaC ,System time ,business ,computer ,Execution time ,computer.programming_language - Abstract
In this paper a novel and extremely configurable execution core is presented for a standard five-stage pipelined processor. This processor implementation targets a medium grain highly reconfigurable hardware architecture that has been developed for DSPs applications. Using the Spec Espresso and Li benchmarks, analysis of different data forwarding mechanisms and machine per system clock factors are offered. Results show that with an increase in 16.7% or 28.7% hardware size execution time can be reduced by up to 10.79% and 15.63% respectively.
- Published
- 2011
- Full Text
- View/download PDF
27. Depth Control of a 1 DOF Underwater System Using a Model-Free High Order Sliding Mode Control
- Author
-
G. Delgado-Ramirez, Tomas Salgado-Jimenez, and L.G. Garcia-Valdovinos
- Subjects
Vehicle dynamics ,Signal processing ,Engineering ,Control theory ,business.industry ,Show control ,Trajectory ,PID controller ,Control engineering ,Underwater ,business ,Sliding mode control ,Parametric statistics - Abstract
The underwater vehicle control has two problems to deal with; parametric uncertainty and unknown disturbance. Sliding Mode Control (SMC) effectively addresses these issues and is therefore a viable choice for controlling underwater vehicles. On the other hand, this method is known to be susceptible to chatter, which is a high frequency signal induced by the switching control. In this paper a new control methodology called Model-free High Order Sliding Modes Control (HOSMC) is introduced. HOSMC principal characteristic is that it keeps the main advantages of the standard SMC, removing the chattering effects, this advantage is achieved without model knowledge. Real time experiments in a 1 DOF (Degree Of Freedom) underwater system are conducted to show control effectiveness. Finally, a comparative study regarding PID control and conventional SMC is given.
- Published
- 2010
- Full Text
- View/download PDF
28. A medium-grain reconfigurable processing unit
- Author
-
José G. Delgado-Frias and Jason Van Dyken
- Subjects
Instruction set ,Arithmetic logic unit ,CPU modes ,Computer science ,business.industry ,Embedded system ,Control unit ,CPU time ,Execution unit ,Central processing unit ,business ,Machine code ,Computer hardware - Abstract
In this paper the components required to implement a central processing unit (CPU) and its arithmetic logic unit (ALU) are presented using a novel medium grain reconfigurable hardware architecture. The CPU can be configured to match the application's requirements in terms of word-size, number and type of units, and instruction set. The MIPS instruction set has been used to show the potential of the processing unit. The clock for this implementation is calculated to be in the order of 2GHz for current technologies.
- Published
- 2010
- Full Text
- View/download PDF
29. CNTFET SRAM cell design with tolerance to metallic CNTs
- Author
-
José G. Delgado-Frias, J. Nyathi, and Zhe Zhang
- Subjects
Materials science ,Yield (engineering) ,business.industry ,Transistor ,Nanotechnology ,Carbon nanotube ,Carbon nanotube field-effect transistor ,law.invention ,Semiconductor ,CMOS ,law ,Logic gate ,Optoelectronics ,Field-effect transistor ,business - Abstract
In this study we present a metallic carbon nanotube (CNT) tolerant CNTFET memory. The proposed scheme includes a number of uncorrelated (independent) CNTs in series to form CNTFETs provides tolerance to metallic CNTs. To increase driving capabilities parallel (correlated) transistors are used. In addition spare columns are used to increase the memory array yield. An extremely high probability of having a functional memory array can be obtained with a modest semiconductor CNT probability (P semi ) of 90% and four uncorrelated transistors in series. The probability of having a functional 16×16 memory array is 90.27%, 99.48%, 99.98% and 100% with 0, 1, 2, and 4 spare columns, respectively.
- Published
- 2010
- Full Text
- View/download PDF
30. Low power SRAM cell design for FinFET and CNTFET technologies
- Author
-
Zhe Zhang, Michael A. Turi, and José G. Delgado-Frias
- Subjects
Hardware_MEMORYSTRUCTURES ,business.industry ,Computer science ,Hardware_PERFORMANCEANDRELIABILITY ,Carbon nanotube field-effect transistor ,International Technology Roadmap for Semiconductors ,CMOS ,Nanoelectronics ,Hardware_GENERAL ,Low-power electronics ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Field-effect transistor ,Static random-access memory ,business ,Hardware_LOGICDESIGN - Abstract
Implementations of SRAM cells in FinFET and carbon nanotube FET (CNTFET) technologies are presented in this paper. The International Technology Roadmap for Semiconductors has identified these technologies as likely candidates to replace bulk CMOS. Leakage current is one of the major contributors in the power consumption in SRAM arrays; FinFETs have been shown to greatly reduce leakage current. The FinFET memory cells are presented. These cells dissipate 0.49 µW of static power. The CNTFET memory requires 0.195 µW of static power. In current synthesis processes Metallic CNTs are grown along with semiconductor CNTs, a metallic tolerant scheme is used to overcome the presence of metallic CNT. This CNTFET memory with metallic tolerance dissipates 0.21 µW of static power.
- Published
- 2010
- Full Text
- View/download PDF
31. Low-Power FinFET design schemes for NOR address decoders
- Author
-
José G. Delgado-Frias, Michael A. Turi, and Niraj K. Jha
- Subjects
Address decoder ,Engineering ,Hardware_MEMORYSTRUCTURES ,business.industry ,Hardware_PERFORMANCEANDRELIABILITY ,Swing ,Power (physics) ,Logic synthesis ,Logic gate ,Low-power electronics ,Dynamic demand ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,business ,Hardware_LOGICDESIGN ,Voltage - Abstract
This paper presents and evaluates six novel, low-power, FinFET-based design schemes of the conventional NOR address decoder. These schemes differ in front- and back-gate connections and input signal swing. Simulations of these schemes were performed using a 32nm FinFET technology model and the schemes' performance was evaluated in terms of dynamic current consumption, delay, and leakage current consumption. The Low-Power (LP) scheme, a scheme where the FinFETs' back gates are reverse-biased for lower-power operation, was used as the base scheme for comparisons. The Shorted-Gate (SG) High Precharge Swing scheme has a better performance tradeoff than the other presented schemes, including the LP scheme. While dynamic power is 10.9% to 11.9% more than the LP scheme, the SG-High Precharge Swing scheme is 48.1% to 59.9% faster and dissipates 93.0% to 99.7% less leakage power than the LP scheme. In addition, the SG-High Precharge Swing scheme requires less supporting hardware as it needs one less voltage level and one less voltage conversion buffer than the LP scheme.
- Published
- 2010
- Full Text
- View/download PDF
32. Performance of CNFET SRAM cells under diameter variation corners
- Author
-
J. Nyathi, Zhe Zhang, José G. Delgado-Frias, and Yanmin Liu
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,Energy consumption ,Carbon nanotube ,law.invention ,Beyond CMOS ,Transistor count ,CMOS ,law ,Ballistic conduction ,Optoelectronics ,Static random-access memory ,business - Abstract
In this paper three carbon nanotube FET based static memory cells are compared on read and write delays, energy consumption, and performance under diameter variation corners. The carbon nanotube FET is currently considered to be the possible “beyond CMOS” device due to its1-D transport properties that include low carrier scattering and ballistic transport. The memory cells are classified by their transistor count (6-, 7- and 8-transistor cell.) Under a nominal diameter of 1.51nm, the 8-T cell has the lowest delay and energy consumption of 3.7ps and 0.348fJ, respectively. Simulations with transistor diameter variations show that small n-type device diameters result in significantly slow read and write delays. The 8-transistor cell dissipates the least energy when the transistor diameters range from 1.369nm to 1.659nm.
- Published
- 2009
- Full Text
- View/download PDF
33. Clock skew tolerant communication scheme for SoC IP blocks
- Author
-
José G. Delgado-Frias, Ray Robert Rydberg, and J. Nyathi
- Subjects
FIFO (computing and electronics) ,Computer science ,business.industry ,Skew ,Phase (waves) ,Digital clock manager ,Clock skew ,Synchronization ,Transfer (computing) ,Electronic engineering ,business ,Computer hardware ,Communication channel ,Data transmission - Abstract
System-on-chip (SoC) designs have different intellectual property (IP) blocks that operate on independent clocks and signals crossing the clock domains could experience errors. This paper details the effects of clock skew on data and clock signals at the interface logic of communicating SoC modules. The clocks under consideration have the same frequency but with phase angles that range from 0 - 360deg. A single buffer between communicating modules shows data transfer rates of 10.25 times 109 data samples per second serially when the sender and receiver clocks have no skew. Increasing the phase shift (skew) between the sender and receiver clocks degrades this transfer rate to 6.75 times 109 samples per second per channel. Adjusting the phase shift between the sender and receiver clocks to always be between 0deg and 135deg improves the performance, keeping the data transfer rates in the range of 9.50 times 109 to 10.25 times 109 samples per second per channel. It is also shown that the interface logic tolerates skew significantly better if multiple stages of the interface logic and data path FIFO buffers are used.
- Published
- 2008
- Full Text
- View/download PDF
34. Multiple node upset mitigation in TPDICE-based pipeline memory structures
- Author
-
José G. Delgado-Frias and D.R. Blum
- Subjects
Engineering ,Interleaving ,business.industry ,Page layout ,Pipeline (computing) ,computer.software_genre ,Integrated circuit layout ,Upset ,Pipeline transport ,CMOS ,Embedded system ,Static random-access memory ,business ,computer - Abstract
Traditional single disruption tolerant radiation hardened SRAM designs are vulnerable to failure when exposed to particle strikes that induce multiple node disruptions. Such events become likely when devices with small feature sizes are operated in highly radioactive environments. This paper analyzes the effectiveness of hardened by design techniques created with the intent to mitigate multiple node disruptions in 90 nm CMOS. From the results, it has been concluded that acceptable tolerance to multiple node disruptions in 90 nm can be achieved through a unique combination of hardened memory and layout design techniques with moderate and calculable levels of layout interleaving.
- Published
- 2008
- Full Text
- View/download PDF
35. High-performance low-power AND and Sense-Amp address decoders with selective precharging
- Author
-
José G. Delgado-Frias and Michael A. Turi
- Subjects
Address decoder ,Soft-decision decoder ,CMOS ,Computer science ,Logic gate ,Electronic engineering ,Codec ,Energy (signal processing) ,Decoding methods - Abstract
This paper presents and evaluates two novel address decoding schemes that use selective precharging, the sense-amp and the AND decoders, in comparison to the conventional NOR decoder. Simulations for all three designs are performed using 65 nm CMOS technology and the delays of all three decoders are set to 120 ps for a common base comparison. The most selective AND decoder performs best and dissipates between 0.17% and 43.17% (29.29% on average) and the selective Sense-Amp decoder dissipates between 28.81% and 48.33% (39.96% on average) of the energy dissipated by the nonselective conventional decoder.
- Published
- 2008
- Full Text
- View/download PDF
36. Using a Cache Scheme to Detect Misbehaving Nodes in Mobile Ad-Hoc Networks
- Author
-
José G. Delgado-Frias, Sirisha Medidi, and Hongxun Liu
- Subjects
Vehicular ad hoc network ,Adaptive quality of service multi-hop routing ,Optimized Link State Routing Protocol ,business.industry ,Computer science ,Network packet ,Wireless ad hoc network ,ComputerSystemsOrganization_COMPUTER-COMMUNICATIONNETWORKS ,Mobile ad hoc network ,Cache ,Ad hoc wireless distribution service ,business ,Computer network - Abstract
This paper presents a hardware based cache scheme to detect misbehaving nodes in mobile ad hoc network. In this scheme, the hardware monitors the activities of the upper-layer software and reports the misbehavior of the software to other mobile nodes in the network. The hardware cache stores the identity information of recently received packets. The detection mechanism uses the cache to detect packet dropping and packet misrouting. The simulation results show that the cache scheme can detect nearly 100% misbehaving nodes with nearly 0% false positive in the packet dropping scenario. In the packet misrouting scenario, the detection has nearly 0% false positive and more than 90% detection rate. The detection result could be used by other nodes to protect the network.
- Published
- 2007
- Full Text
- View/download PDF
37. Redundant Array of Independent Fabrics - An Architecture for Next Generation Network
- Author
-
José G. Delgado-Frias and Rongsen He
- Subjects
Computer science ,business.industry ,RAID ,Reliability (computer networking) ,Distributed computing ,Multistage interconnection networks ,Telecommunications service ,Fault tolerance ,law.invention ,law ,Next-generation network ,Scalability ,The Internet ,business ,Computer network - Abstract
As the next generation network begins to incorporate the Internet, telecommunication and TV services, it becomes one of the most critical infrastructures for our society. Routers construct the skeleton of the network. Their kernel, the structure and configuration (scheduler) of the fabric, dominates the networks' performance, scalability, reliability and cost. Based on previous research, we proposed an interleaved architecture of multistage switching fabrics, which will meet the requirements for next generation routers. In this paper, we first assess its performance with a theoretical model which complements our previous simulation results. Moreover, the interleaved fabrics show great tolerance against internal hardware failures. Based on these properties, we propose the architecture of RAIF (redundant array of independent fabrics) for next generation network, which could get better performance and fault tolerance as RAID.
- Published
- 2007
- Full Text
- View/download PDF
38. MARS: Misbehavior Detection in Ad Hoc Networks
- Author
-
Li Zhao and José G. Delgado-Frias
- Subjects
Vehicular ad hoc network ,Adaptive quality of service multi-hop routing ,Computer science ,business.industry ,Wireless ad hoc network ,Distributed computing ,ComputerSystemsOrganization_COMPUTER-COMMUNICATIONNETWORKS ,Wireless Routing Protocol ,Mobile ad hoc network ,Mars Exploration Program ,Ad hoc wireless distribution service ,ComputingMethodologies_PATTERNRECOGNITION ,Optimized Link State Routing Protocol ,Link-state routing protocol ,Multipath routing ,Network performance ,Destination-Sequenced Distance Vector routing ,business ,Computer network - Abstract
To detect misbehavior on data and mitigate adverse effects, we propose and evaluate a MultipAth routing single path transmission (MARS) scheme. The MARS combines multipath routing, single path data transmission, and end-to-end feedback mechanism together to provide more comprehensive protection against misbehavior from individual or cooperating misbehaving nodes. The MARS scheme and its enhancement E- MARS are evaluated by means of simulation under various adverse scenarios. The simulation results show that the MARS and E-MARS schemes provide better network performance and considerable protection to data transmission than some DSR-based transmission systems at the expense of moderate overhead. Compared to the DSR-based schemes, the proposed schemes deliver up to 45% more data with 20% misbehaving nodes under individual misbehavior, and up to 28% more data with 40% misbehaving nodes under colluded misbehavior.
- Published
- 2007
- Full Text
- View/download PDF
39. Reducing power in memory decoders by means of selective precharge schemes
- Author
-
Michael A. Turi and José G. Delgado-Frias
- Subjects
CMOS ,Computer science ,Electronic engineering ,Data_CODINGANDINFORMATIONTHEORY ,Energy consumption ,Decoding methods ,Word (computer architecture) ,Energy (signal processing) ,Hardware_LOGICDESIGN ,Power (physics) - Abstract
Two novel memory decoder designs for reducing energy consumption and delay are presented in this paper. These two decoding schemes are compared to the conventional NOR decoder. Fewer word lines are charged and discharged by the proposed schemes which leads to less energy dissipation. Energy, delay, and area calculations are provided for all three designs under analysis. The two novel decoder schemes range from dissipating 3.9% to 23.6% of the energy dissipated by the conventional decoder. The delays of these designs are 80.8% of the conventional decoder delay. Simulations of the three decoders are performed using a 90 nm CMOS technology.
- Published
- 2007
- Full Text
- View/download PDF
40. Hardened by Design Techniques for Implementing Multiple-Bit Upset Tolerant Static Memories
- Author
-
D.R. Blum and José G. Delgado-Frias
- Subjects
Bit (horse) ,Interleaving ,business.industry ,Computer science ,Embedded system ,Memory architecture ,Interleaved memory ,Electronic engineering ,business ,Upset - Abstract
We present a novel MBU-tolerant design, which utilizes layout-based interleaving and multiple-node disruption tolerant memory latches. This approach protects against grazing incidence particle strikes, which produce disruptions with the widest possible spatial separation. Advantages with respect to size, complexity, and MBU tolerance are realized when this approach is compared to existing solutions.
- Published
- 2007
- Full Text
- View/download PDF
41. NXG05-1: Interleaved Multistage Switching Fabrics for Scalable High Performance Routers
- Author
-
José G. Delgado-Frias and Rongsen He
- Subjects
Interconnection ,Network packet ,Computer science ,business.industry ,Multistage interconnection networks ,Throughput ,Port (computer networking) ,Backplane ,Asynchronous Transfer Mode ,Scalability ,Crossbar switch ,business ,Communication complexity ,Computer network - Abstract
As the Internet grows exponentially, scalable high performance routers and switches on backbone are required to provide a large number of ports, higher throughput, lower delay latency and good reliability. At present, most of these routers and switches are implemented on single crossbar as the switched backplane fabric. But the complexity of the single crossbar is increased with O(N2 ) in terms of crosspoint number, which is unacceptable for scalability when N becomes large. A delta class self-routing multistage interconnection network with the complexity of O(Ntimeslog2N) has been widely used in the ATM switches. However, the reduction of the crosspoint number results in the serious internal blocking. To solve this problem, quite a few scalable methods have been proposed. One of them, more stages with recirculation architecture is used to reroute the deflected packets, which increase the latency a lot. In this paper, we first bring out the multiple-panel MIN switching fabrics with interleaved recirculation. We also show how to correctly choose the recirculation points to reroute the cells, compared with the wrong connections of former publication. From the simulation under different traffic patterns, this new interleaved architecture, which is insensitive to congestion, could achieve better performance than its counterpart of single panel fabric.
- Published
- 2006
- Full Text
- View/download PDF
42. A mesochronous pipeline scheme for high performance low power digital systems
- Author
-
José G. Delgado-Frias and S.B. Tatapudi
- Subjects
Adder ,Computer science ,Low-power electronics ,Pipeline (computing) ,Electronic engineering ,Multiplier (economics) ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Dissipation ,Clock network - Abstract
A mesochronous pipeline architecture is described in this paper. Significant performance gains are possible with mesochronous pipeline over conventional pipeline architecture. The clock period in conventional pipeline scheme is proportional to the maximum stage delay while in mesochronous pipelining it is proportional to the maximum delay difference, which means higher clock speeds are possible in the proposed scheme. Also, the clock distribution network is simple and load on it is less in mesochronous approach resulting in significant power savings. An 8/spl times/8-bit multiplier using carry-save adder technique has been implemented in conventional and mesochronous pipeline approach using TSMC 180 nm (drawn length 200 nm). The over all power dissipation in mesochronous approach is less than 50% of the power dissipation in conventional approach. In conventional approach, the power dissipation in clock network and pipeline registers is close to 80% of total power dissipation, while in mesochronous approach the logic dissipates more power.
- Published
- 2006
- Full Text
- View/download PDF
43. Multipath Routing Based Secure Data Transmission in Ad Hoc Networks
- Author
-
Li Zhao and José G. Delgado-Frias
- Subjects
Dynamic Source Routing ,Vehicular ad hoc network ,Wireless ad hoc network ,business.industry ,Computer science ,Distributed computing ,ComputerSystemsOrganization_COMPUTER-COMMUNICATIONNETWORKS ,Wireless Routing Protocol ,Ad hoc wireless distribution service ,Optimized Link State Routing Protocol ,Multipath routing ,Destination-Sequenced Distance Vector routing ,business ,Computer network - Abstract
The specific characteristics of mobile ad hoc networks (MANETs) make cooperation among all nodes and secure transmission important issues in its research. Misbehaving nodes with different intentions and capabilities would conduct various types of misbehavior in the networks. In this paper, we present and evaluate a scheme, in which multipath routing combined with feedback mechanism are used to tackle misbehaviors on data delivery formed by one or more misbehaving nodes in an ad hoc network. Data and control packets are transmitted through two node-disjoint paths. The source is notified of suspected misconduct of intermediate nodes through feedback mechanism. A simple derivation of this scheme is also discussed. The proposed scheme and the derivation are compared with the single path routing protocol DSR by means of simulation implemented at normal and adverse scenarios. The simulation results show that the proposed scheme and the derivation provide considerable protection in ad hoc networks at the expense of moderate overhead introduced by multipath routing. In a network with up to 40% misbehaving nodes, the proposed scheme and the derivation result in around 17% in data receive rate over the single path DSR
- Published
- 2006
- Full Text
- View/download PDF
44. Superpipelined reconfigurable hardware for DSP
- Author
-
Mitchell J. Myjak and José G. Delgado-Frias
- Subjects
Flexibility (engineering) ,Digital signal processor ,business.industry ,Computer science ,media_common.quotation_subject ,Fast Fourier transform ,Overhead (engineering) ,Reconfigurable computing ,Adaptability ,Reduction (complexity) ,Embedded system ,business ,Digital signal processing ,media_common - Abstract
Reconfigurable hardware offers a number of advantages over custom integrated circuits, including low development cost, high flexibility, and high adaptability to changing requirements. However, this alternative does incur some reduction in performance, especially for computationally intensive tasks such as digital signal processing. Recent developments in both research and industry have aimed to reduce this gap. This paper introduces a novel reconfigurable architecture that pipelines computations at the bit level. The architecture includes a number of features to improve performance, including medium-grain cells, hierarchical interconnections, and minimal clocking overhead. Circuit simulations demonstrate that the basic cell runs at 1.5 GHz in a modest 180-nm technology. At this speed, we estimate that the device could compute a 256-point fast Fourier transform in 829 ns.
- Published
- 2006
- Full Text
- View/download PDF
45. A Reduced Clock Delay Approach for High Performance Mesochronous Pipeline
- Author
-
S.B. Tatapudi and José G. Delgado-Frias
- Subjects
Adder ,Multiple data ,Computer science ,Pipeline (computing) ,Electronic engineering ,Multiplier (economics) ,Supply current ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Dissipation ,Power network design ,Clock network - Abstract
A mesochronous pipeline scheme is described in this paper. In a conventional pipeline scheme each pipeline stage operates on only one data set at a time. In the mesochronous scheme, pipeline stages operate on multiple data sets simultaneously. The clock period in conventional pipeline scheme is proportional to the maximum pipeline stage delay while in mesochronous pipelining, it is proportional to the maximum pipeline stage delay difference, which means higher clock speeds are possible and number of pipeline stages is significantly less. In mesochronous approach the clock distribution network is simple and load on it is less resulting in significant power savings. Also, the variations in supply current drawn by clock network is significantly less in mesochronous scheme, thus power supply noise (IR drop and Ldi/dt noise) is less. An 8times8-bit multiplier using carry-save adder technique has been implemented in conventional and mesochronous pipeline approach using TSMC 180 nm (drawn length 200 nm). The over all power dissipation in mesochronous approach is less than 50% of the power dissipation in conventional approach. In conventional approach, the power dissipation in clock network and pipeline registers is close to 80% of total power dissipation, while in mesochronous approach logic dissipates more power.
- Published
- 2006
- Full Text
- View/download PDF
46. High Performance Memory Read Using Cross-Coupled Pull-up Circuitry
- Author
-
José G. Delgado-Frias and K. Blomster
- Subjects
CMOS ,law ,Computer science ,Transistor ,Electronic engineering ,Integrated circuit design ,Static random-access memory ,Energy consumption ,Energy (signal processing) ,Decoding methods ,law.invention ,Voltage - Abstract
A novel design for decreasing energy and delay during the read cycle of a standard six-transistor differential SRAM cell is presented in this paper. Removal of the pre- charge transistors from the bit-lines of the SRAM reduces energy consumption. This also eliminates the need for a pre- charge phase which decreases the total delay of a read cycle. Additional logic to improve the speed of a read and to ensure that the bit-lines retain a sufficient voltage difference is placed just before the output on the bit-lines. This is especially significant in the design of pipelined memories where the delay per stage is determined by the time it takes to read a value from a cell as opposed to decoding an address or generating the output of the SRAM. Circuit simulations in 180-nm CMOS show a decline in energy consumption by a minimum of 9.2% and up to 98.6%. Worst case delay is reduced by 27.6%. The following paper explains the proposed read logic in detail, describes the techniques used for the analysis, and compares the results with the standard method for fast, low-power read accesses.
- Published
- 2006
- Full Text
- View/download PDF
47. Wave-Pipelining the Global Interconnect to Reduce the Associated Delays
- Author
-
José G. Delgado-Frias, J. Nyathi, and Ray Robert Rydberg
- Subjects
Engineering ,business.industry ,FIFO (computing and electronics) ,Electronic engineering ,Clock gating ,Throughput ,Propagation delay ,business ,Clock synchronization ,Communication channel ,Data transmission ,Repeater insertion - Abstract
The majority of digital circuits/systems primarily use synchronous clocking methodology. With clock distribution networks dissipating ever more power and the wire delays expected to become dominant, there has been increased activity to provide alternative solutions. This paper explores some potential methods for reducing global interconnect delays and improving throughput between communicating modules. Analysis of the classical repeater insertion is performed and a wave-pipelined repeater insertion scheme that addresses some shortfalls of the classical repeater insertion is proposed. An extension of the wave-pipelined repeater insertion scheme is presented and results show that its data retention capability offers reliable communication between any number of computing elements. The design of the communication channel is based on the assumption that the computing elements employ synchronous clocking while the communication channels are driven by locally generated clocks. Locally generating clocks along the communication channel avoids the clock distribution complexities and offers an ability to stop and start data transfer along the channel without the need for elaborate clock gating circuitry. Furthermore, no additional clock cycles are required to flush the pipe in the event of stalls. The circuitry that generates local clocks increases area and power, but shows significant performance advantages, particularly in providing a seamless interface between communicating modules running at different clock frequencies. Simulation results of the distributed FIFO communication channel in a modest 180 nm technology show locally generated clocks running at 2.22GHz with the memory buffers placed 2 mm apart.
- Published
- 2006
- Full Text
- View/download PDF
48. A Shared Self-Compacting Buffer for Network-On-Chip Systems
- Author
-
José G. Delgado-Frias and Jin Liu
- Subjects
Queueing theory ,Interconnection ,Network on a chip ,Computer science ,business.industry ,System on a chip ,Power network design ,business ,Queue ,Buffer (optical fiber) ,Virtual channel ,Computer network - Abstract
In this paper we present a novel shared buffer scheme for systems on chip applications that require an interconnection network. The proposed scheme is based on a dynamically allocated multi queue self-compacting buffer. Two virtual channels shared the same buffer space. This in turn takes advantage of the available space. The proposed scheme outperforms existing approaches. In addition the scheme has similar performance using only half of the buffer size used in other traditional implementations.
- Published
- 2006
- Full Text
- View/download PDF
49. A high performance, hybrid wave-pipelined linear feedback shift register with skew tolerant clocks
- Author
-
José G. Delgado-Frias, J. Nyathi, and J. Lowe
- Subjects
Synchronous circuit ,Clock signal ,Vector clock ,Computer science ,Clock rate ,Clock drift ,Skew ,Matrix clock ,Static timing analysis ,Clock gating ,Digital clock manager ,Parallel computing ,Clock skew ,Timing failure ,Clock synchronization ,Clock angle problem ,Clock domain crossing ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Linear feedback shift register ,Shift register ,CPU multiplier - Abstract
Clock skew and clock distribution are increasingly becoming a major design concern in synchronous pipelined systems. We present a novel high-speed hybrid wave-pipelined linear feedback shift register that manages clock skew by permitting the clock to travel with its associated data through the pipeline. The wave-pipelined clock has a skew 8.34 times lower than that of a buffered clock and is 1.2 times faster.
- Published
- 2006
- Full Text
- View/download PDF
50. High performance with low implementation cost sigmoid generators
- Author
-
Ming Zhang, Stamatis Vassiliadis, and José G. Delgado-Frias
- Subjects
Approximation theory ,Mathematical optimization ,Third order ,Speedup ,Computation ,Piecewise ,Multiplication ,Sigmoid function ,Rectifier (neural networks) ,Algorithm ,Mathematics - Abstract
In this paper, a piecewise second order approximation method to compute the sigmoid function is proposed and evaluated. The scheme provides high performance and it is inexpensive to implement in terms of hardware. It requires one multiplication and two additions for the sigmoid computation. It provides better precision with an average error of 5.3*10/sup -3/ which is less than other existing second/third order approaches having the average errors of 6.4*10/sup -2/ and 7.8*10/sup -2/ respectively. Finally the proposed scheme can provide a 1.15-1.67 speedup over existing schemes.
- Published
- 2005
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.